SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing (ERC)

                                                          **  Bringing Sustainability to Semiconductor Manufacturing **

A multi-university research center leading the way to environmentally friendly semiconductor manufacturing, sponsored by the Semiconductor Research Corporation's Global Research Collaboration (GRC) Research Program
 




 

 

Home  : Seminar Series : Schedule
Schedule:

Adobe Acrobat Reader For archived presentations:  1998  1999   2000  2001  2002  2003  2004  2005

- 2006 -
Jan. 12, 2006 Host:  James Farrell, University of Arizona
Presentation by:  
James Farrell, University of Arizona
Topic:
"Ab Initio and Force Field Modeling of Physical and Chemical Adsorption Phenomena"
Abstract: 
Quantum mechanical and force field based modeling is becoming increasingly utilized for understanding adsorption mechanisms at the molecular scale. This talk will discuss applications of force field based Monte Carlo, molecular dynamics, and molecular mechanics modeling, as well as ab initio quantum mechanical modeling, for studying the physical and chemical adsorption of organic and inorganic compounds to reactive and nonreactive surfaces. An introduction to each technique, the scope of problems that can be addressed by each method, and the difficulties, limitations and accuracies associated with each type of modeling will be discussed. Specific examples will look at adsorption and transport of trichloroethylene (TCE) in silica micropores, chemical adsorption and reaction of TCE with iron surfaces, and chemical adsorption of arsenite species on ferric hydroxides.  (PDF)
Jan. 26 Host:  Srini Raghavan, Materials Science and Engineering, University of Arizona
Presentation by: 
Ashok Kumar Muthukumaran, University of Arizona
Topic:
"Anodic Dissolution Of Copper In Dilute Hydroxylamine Solutions - Applications To ECMP Of Copper"
Abstract:
 The effect of anodic polarization on dissolution of copper in hydroxylamine based chemistries has been studied to evaluate the use of these chemistries in an ECMP process. The dissolution rate of copper in hydroxylamine solutions is pH dependant and exhibits a maximum value with respect to over potential. In this study, the effectiveness of benzotriazole (BTA) in inhibiting copper dissolution in hydroxylamine based solution was tested using a Quartz Crystal Microbalance (QCM) technique. Copper was electroplated onto the gold electrode of quartz crystals and its dissolution/passivation behavior in hydroxylamine system was studied at different applied potential values. Using electrochemical polarization measurements in conjunction with QCM tests, the mechanism of copper passivation has been studied. (PDF)
Feb. 9 Host:  Jim Field, University of Arizona
Presentation by: 
Jim Field, University of Arizona
Topic: 
"Biodegradation of Halogenated Solvents"
Abstract:  Chlorinated solvents such as chlorinated ethenes, ethanes and methanes are important priority pollutants of groundwater. The objective of this presentation is to summarize a comprehensive literature review on the microbial degradation of chlorinated solvents (Field and Sierra, 2004). A few examples will also be reviewed with fluorinated solvents. Diverse strategies are utilized by microorganisms in the degradation of organohalogen compounds ranging from reductive dehalogenation, hydrolytic to oxygenolytic release of halides. To understand how microorganisms gain energy and benefit from biodegradation of halogenated solvents, one must consider biodegradation as a redox reaction in which an electron donor becomes oxidized at the expense of an electron acceptor becoming reduced as follows:
Electron Donor + Electron Acceptor ® Oxidized Electron Donor + Reduced Electron Acceptor.  Lower halogenated compounds typically serve as an electron donor and carbon source by being oxidized if an adequate electron acceptor is present (e.g. O2). On the other hand, higher halogenated compounds can serve as electron acceptors by being reductively dehalogenated in the presence of electron donating compounds (e.g. H2 or simple organic substrates) in a process known as halorespiration. Halogenated solvents can also be cometabolically biotransformed by accidental reactions with enzymes and cofactors involved in the degradation of other substrates. Classic examples of cometabolism include oxidation of chlorinated solvents by monooxygenases and reduction of chlorinated solvents by common-occurring reduced cofactors in anaerobes (vitamin B12). The essence of bioremediation is to provide environmental conditions that favor the reaction required such as the supply of electron donor, electron acceptor or cosubstrate.
The bioremediation of perchloroethylene (PCE) and trichloroethene (TCE) involves halorespiration. There is a high level of biodiversity for the initial reductive reactions to cis dichloroethene (cDCE) thus these reactions are ubiquitous as long as electron donors are supplied or otherwise present as co-contamination at the site. However, the final reductive steps to vinyl chloride (VC) and ultimately ethene are restricted to halorespiring bacteria from the genus Dehaloccocoides which are not universally present at all sites. If absent, the bioremediation can potentially benefit from inoculating Dehaloccocoides. An alternative approach to PCE bioremediation, is to provide a sequence of anaerobic and aerobic degradation steps. First reduction of PCE to cDCE is stimulated by addition of electron donors, thereafter the cooxidation of cDCE can be promoted by providing oxygen and substrates that enrich for organisms with monooxygenases (e.g. methane, phenol etc.). Aside from cooxidation, several strains of aerobic bacteria have recently been discovered that can utilize cDCE and VC as growth supporting primary substrates.
The bioremediation of carbon tetrachloride (CT) involves cometabolic reductive dechlorination reactions. A large number of cofactors have been shown to be involved in CT biotransformation ranging from vitamin B12 to quinones. The main reaction involves CT reduction to a dichlorocarbene radical, which is hydrolyzed by water to form CO and/or formate that are subsequently degraded further to CO2 in the absence of oxygen. [Field, J.A. & R. Sierra-Alvarez (2004) Biodegradability of chlorinated solvents and related chlorinated aliphatic compounds. Reviews in Environmental Science & Bio/Technology 3:185-254.]  (PDF)
Feb. 23 No TeleSeminar -- 10th Annual ERC Site Review Meeting (February 23-24th, Tucson, AZ)
March 9 Host:   Kim Ogden, Chemical and Environmental Engineering, University of Arizona
Presentation by:  Dr. James Beckman, Associate Chair, Chemical Engineering, Arizona State University
Topic: 
"CMP Water Reclamation by 'DewVaporization' "
Abstract:  The dewvaporation technique will be described with theory and examples of operations concerning desalination and CMP water reclamation. (PDF)
March 23 Host:  Ara Philipossian, Chemical and Environmental Engineering, University of Arizona
Presentation by:  Darren DeNardis, Chemical and Environmental Engineering, University of Arizona 
Topic: 
"Characterizing Copper - Hydrogen Peroxide Film Growth and Dissolution Kinetics for Application in Multi - Step Chemical Mechanical Planarization Models"
Abstract: 
As copper chemical mechanical planarization (CMP) modeling efforts become increasingly more sophisticated, it is important to understand the individual steps that have been found to play integral roles in the removal process such as oxidation, film dissolution, abrasion, and dissolution of byproducts.  This study focuses on copper oxidation using H2O2 and copper oxide dissolution, the two chemical steps of the proposed 3 – step CMP removal rate model.  Ellipsometry, atomic adsorption, scanning electron microscopy (SEM), and X-ray photoelectron spectroscopy (XPS) techniques were used to characterize copper film growth characteristics. Ellipsometric results complemented microbalance results concluding that copper film growth occurs in hydrogen peroxide solutions of varying concentrations at pH 5.  The film growth profile for 1 weight percent hydrogen peroxide reaches a saturation point at approximately 500 Å after about 12 hours.  SEM images reveal that 50 – 100 nm copper oxide/hydroxide crystals are observed for t < 60 minutes and 200 – 300 nm crystals are formed at 24 hours, indicating that reactions that occur at the fluid – film interface may contribute to film growth.  XPS spectra indicate Cu(I) and Cu(II) oxides and Cu(OH)2 for t < 5 minutes and only cupric oxide and hydroxide for t > 10 minutes.  Copper oxidation studies were performed as a function of temperature in the range encountered in CMP to determine parameters, which allow oxidation rates to be calculated a priori for use in the removal rate model.  Copper oxide dissolution experiments were also performed at multiple temperatures to characterize the dissolution step in the model.  Rates of copper oxidation were found to be higher than dissolution rates which verifies the cyclic passivation – removal mechanism generally believed to govern metal CMP processes. (PDF)
April 6 Host:  Christopher Ober, Cornell University
Presentation by:  Yi Yi, Post Doctoral Associate, Ober Research Group, Cornell University
Topic:  "Development of Novel non-PFS Based Potoacid Generators and Their Performance"
Abstract
:  The technology of chemically amplified photoresists has greatly facilitated the development of semiconductor industry. Even in 193 nm (dry and immersion) and next generation lithography (NGL), it is a viable approach to fabricate the continuingly reduced feature sizes demanded by the ITRS Roadmap. Among the chemically amplified resist (CAR) systems, the photoacid generator (PAG) is a critical component.  Its function is to generate strong acid to catalyze the chain reactions in the exposed area of the resist film.
Although perfluorooctyl sulfonic acid (PFOS) is widely used by the semiconductor industry in surfactants, top ARCs and PAGs, it is highly persistent in the environment and has a strong tendency to bioaccumulate. PFOS containing chemicals are today distributed world wide, even in arctic areas. They are also found in humans and animals. Based on environmental, health and safety (EHS) concerns, the EPA proposed a significant new use rule (SNUR) for PFOS during the year 2000. Moreover, as PAGs based on PFOS have some disadvantages such as segregation in a polymer matrix, and high absorption at extreme ultraviolet wavelengths, it is necessary to find PFOS-free compounds as candidates for PAGs.
In this talk, Cornell’s efforts to develop a series of novel PAGs having significantly lower fluorine content to address the EHS issues will be presented. The performance of the novel functionalized PAGs using E-beam and EUV lithography will be discussed.
(PDF)
April 20 Host:  ****** CANCELLED
May 4 Host:  Duane Boning, MIT
Presentation by:   Sarah Jane White, Environmental Engineering, MIT 
Topic"Developing Predictive Tools for the Early Environmental Assessment of New Semiconductor Material"
Abstract:  There have been many instances in recent history where the development of a new compound has done wonders for its intended purpose, but has also caused unintended, and ultimately costly, effects on the environment.  Prominent examples are the insecticide, dichloro-diphenyl-trichloroethane (DDT), and the class of etchants, perfluorocarbons (PFCs).  Compounds like these not only damage the environment, but may in the long run result in lost time, money, and even liability, for the industries that produce them.
In order to avoid problems like this in the future, researchers must include environmental considerations in the EARLY stages of their work, before a product or process has been put into wide use.  The real problem then becomes: what is the best way to assess the environmental impact of novel technologies?
The goal of this talk is to address the environmental assessment of new materials in the industry.  I will discuss one approach, the comparison of natural fluxes with anthropogenic fluxes at both local and global scales, in order to make a first approximation about how a material will affect the environment.  I will also discuss the specific example of lead, how it can give us some insight into whether this approach will work, and what other predictive considerations it illuminates. (PDF)
May 18 Host:   Yoshio Nishi, Director, Stanford Nanofabrication Facility, Department of Electrical Engineering, Stanford University
Presentation by:  Jungyup Kim, Materials Science and Engineering, Stanford University
Topic
"Study of Germanium Surface for Wet Cleaning Applications"
Abstract Germanium is an important substrate with high mobility device applications.  Therefore effective Ge surface preparation needs to be developed for successful implementation of this high mobility substrate. Fundamental properties of the Ge surface including the etch rate and surface roughness have been investigated. A new method for surface roughness improvement has been developed for Ge sirfaces. Surface passivation has also been has been developed using aqueous hydrogen halides to prevent atmospheric oxidation of the cleaned surface. Strategy for efficient Ge surface cleaning will be discussed in comparison to Si surface cleaning. (PDF)
June 1 SUMMER BREAK
June 15 Host:  Anthony Muscat, University of Arizona
Presentation by:  David Smith, Vice President, Technology Futures, Inc.
Topic: “The Future as Seen through Technology Laws”
Abstract:  
Semiconductor manufacturing and research are going through dramatic changes in both form and substance. Global forces, technology, values models, and process models are all reshaping technology at an unprecedented rate. This talk presents Technology Futures' forecasts and relates our experiences working with Fortune 100 companies, government agencies, and emerging companies. Using a futuristic view grounded in action, this gives the audience the elements necessary to be a leader in the new manufacturing paradigm.  (PDF)
June 29 Host:  Steve Beaudoin, Purdue University
Presentation by:  Steve Beaudoin, Purdue University
Topic "Particle Adhesion to Photomasks"
Abstract
Particle adhesion to advanced photomasks is of considerable interest to the microelectronics industry, as the materials used in advanced masks are often not compatible with existing cleaning methodologies.  At the same time, the feature scales of future devices are such that the minimum size above which a contaminant particle on a mask will produce unacceptable defects is decreasing.  The net result is that the need to understand particle adhesion to masks is very great.  In this discussion, the adhesion between model particles, including alumina, polystyrene and silicon nitride (primarily) against a number of advanced mask materials will be presented.  Particle sizes ranging from microns to 10s of nm will be evaluated in dry air, in DI water, and in aqueous ammonium hydroxide.  Experimental and theoretical descriptions of the adhesion will be presented.  [Gautam Kumar, Ravi Jaiswal, Shanna Smith and Steve Beaudoin, Purdue University, School of Chemical Engineering] (PDF)
July 13 Host:  Alan C. West, Professor, Department of Chemical Engineering, Columbia University
Presentation by:  Alan West, Columbia University
Topic:  "Practical and Theoretical Considerations for Cu-eCMP"
Abstract:  Numerical simulations are employed to demonstrate the daunting challenges involved in utilizing electrochemical polishing technologies as an alternative to low-down-force chemical mechanical planarization.  Results show that small-aspect ratio topographical features sitting on a relatively thin overburden are impossible to planarize by conventional electropolishing.  Electrochemical-mechanical planarization (E-CMP), where an anodic dissolution process is coupled with a polishing pad, is a more viable approach.  Numerical simulations are compared to results obtained by E-CMP, as a means of establishing an effective diffusion-layer thickness and as further demonstration of the infeasibility of electropolishing.  Finally, preliminary designs for a benchtop E-CMP tool are presented. (PDF)
July 27 Host:  Yun Zhuang and Ara Philipossian, University of Arizona
Presentation by:  Robert Meagley, Sr. Staff Scientist, Intel Corporation's Researcher-in-Residence, Lawrence Berkeley National Laboratory
Topic:  "Beyond PFOS- Preorganized Lithographic Materials at Intel's Molecules for Advanced Patterning Program"
Abstract:  The Molecules for Advanced Patterning Program was initiated at the Lawrence Berkeley National Laboratory Molecular Foundry by Intel in January of 2005.  Here, we have created several prototype lithographic materials that use preorganization and self assembly to control photochemical catalysis.  This has profound implications for materials that can restrict pattern blur without employing the PFOS molecule. It is anticipated that materials that anticipate patterning geometry and dimensionally decouple patterning information are possible. (PPT)
Aug. 10 HostReyes Sierra, Chemical & Environmental Engineering, University of Arizona
Presentation by
Victor Gamez, Chemical & Environmental Engineering, University of Arizona
Topic
“Biological Removal and Recovery of Copper in CMP Effluents”
Abstract Copper chemical mechanical planarization (CMP) is rapidly expanding and replacing other traditional processes generating great quantities of wastewater requiring treatment. Physico-chemical methods for the removal of copper in CMP effluents are often expensive and energy intensive.  Environmental biotechnologies offer an interesting potential for the treatment of such wastewater. Biological treatment provides the possibility to remove organic contaminants along with copper; meeting regulatory challenges associated with copper in CMP effluents. The goal of this research is to investigate the feasibility of an innovative system configuration that combines a crystallization reactor and a sulfate-reducing anaerobic bioreactor for the simultaneous removal of copper and organic matter in a simulated semiconductor effluent wastewater. Removal of copper is achieved by precipitation with biogenic sulfides produced by sulfate reducing bacteria inside an expanded granular sludge bed (EGSB) bioreactor. Heavy metals are then deposited in sand granules inside a separate fluidized bed containing fine sand (crystallization reactor). The sand offers surfaces for the nucleation of metal sulfides and subsequent crystal growth. Metal sulfides can then be recovered from the sand granules in a purified form. (PDF)
Aug. 24 HostChristopher Ober, Vice President IUPAC Polymer Division, Francis Bard Professor of Materials Engineering, Materials Science & Engineering, Cornell University
Presentation by
Nelson Felix, Materials Science & Engineering, Cornell University
Topic:  "
Achieving Small Dimensions with an Environmentally Friendly Solvent:  Photoresist Development Using Supercritical CO2"
Abstract:  For more than a decade the idea of using supercritical fluids in semiconductor processing has been actively explored by many researchers.  With its low critical temperature, zero surface tension, and non-polar inert character, supercritical CO2 (scCO2) shows great potential for its ability to process sensitive materials with patterned features on a very small length scale.  However, except for fluorinated or small non-polar compounds, most materials traditionally used by industry show poor solubility in this solvent.  We will discuss prior attempts to modify polymeric photoresists to impart scCO2 solubility as well as explore the range of solvent additives that have been used to alter the solvating power of scCO2.  We will then demonstrate some recent successes in developing photoresist features in the sub-65 nm range with only scCO2 as the developer solvent. (PDF)
Sept. 7 Host:  Jim Farrell, Department of Chemical and Environmental Engineering, University of Arizona
Presentation byAtashi Mukhopadhyay, Department of Chemical Engineering, Stanford University
Topic:
  "Quantum Chemical Simulation of Atomic Layer Deposition of HfO2"
AbstractAtomic layer deposition (ALD) has recently gained interest because of its suitability for fabrication of conformal films with thicknesses in the nanometer range. A comparative investigation of surface hydroxylation states of different surface of m-HfO2 is central to a better understanding of how precursors react on these surfaces under ALD growth conditions.  We used a combined approach of density functional theory (DFT) and thermodynamics to determine structures of anhydrous and hydrated surfaces. Our calculations predict that the  face of the monoclinic phase has the lowest surface energy and most stable face. The total density and partial density of states of the monoclinic surfaces exhibit a surface state corresponding to the surface O-2s states in the inner valence band region. We find that the water adsorption energy decreases with increasing surface coverage, however the energetics of the hydration process can be significantly different on different surfaces. Our investigation showed that under ALD working conditions the (001) surface retains a higher concentration of Brønsted acid sites compared to the thermodynamically stable  surface due to the higher adsorption energy of water on the (001) surface. (PDF)
Sept. 21 Host:  David Mathine, University of Arizona
Presentation byDavid Mathine, University of Arizona
Topic:  "Cell-Based Biosensors for Toxicity Testing of New Chemicals"
Abstract: 
The rapid development of new chemicals makes the current approach to toxicity testing unrealistic since the testing relies on laborious and expensive animal testing.  One approach to increase the throughput of toxicity testing is to use the physiological responses from cells after exposure to an unknown chemical.  Cells from the heart, kidney, prostate, liver, and other organs can be used to test the responses to these various cell types. 
We will discuss the use of a CMOS chip to provide a variety of sensors that can monitor the cells health in real time.  Direct attachment of the cells to the sensors surface is critical for the development of a reliable sensor and data showing cell attachment to a variety of semiconductor and insulator surfaces will be shown.  Also, we will discuss our approach to temperature control of the fluidic environment, which is important to maintain the cell cultures. (PDF)
Oct. 5 Host: Farhang Shadman, University of Arizona
Presentation byCarl Geisert, Principal Engineer,  Intel Corporation
Topic:  " High Purity Process Gas Related Challenges in Semiconductor Manufacturing”  
Abstract:  Many publications and roadmaps have recommended a ppt level of purity in process gases at the 90, 65 and 45nm technology nodes, along with the in-house analytical capability to measure it.  Process gas related issues experienced during the recent startup and ramp of a 300mm, 65nm  high volume fab in Chandler Arizona would suggest that ppb or even ppm levels of contaminants are a more realistic requirement and what we typically experience in manufacturing.
Process Gas supply chain reliability, supplier analytical capability, and internal factory matching requirements have proven to be a much larger challenge to  manufacturing. We will discuss the typical fab distribution system for bulk gases (O2, N2, H2,..), common gas contaminants and their sources, and our ability  (inability) to measure these contaminants prior to delivery and in the distribution system. (PDF)
Oct. 19 Host:  Duane Boning, MIT
Presentation byDr. Dr. Rao Yalamanchili, Cleans Product Group, Applied Materials
Topic
:  "Wafer Cleaning in Semiconductor Manufacturing: The Single-Wafer Inflection Point"
Abstract:  One of the oldest but most prevalent technologies in semiconductor manufacturing is wafer cleaning, which is used to remove contaminants from wafers without damage or corrosion and with minimal material loss. Cleaning processes are tailored to the preceding or subsequent manufacturing steps, using chemical and physical removal methods followed by a dry cycle. Technology nodes of 45nm and below are requiring fundamental changes to wafer cleaning technologies. A main trend is the switch from the historical bench tools that process batches of wafers simultaneously to single-wafer systems. New challenges are driven by the need to have cleaning processes that are effective over one or two minutes, versus 10-20 minutes. This has resulted in a growth of new chemistries, combinations of chemistries, and new hardware innovations in the semiconductor equipment industry. These challenges are being met by an ever increasing pool of process engineers that must have interdisciplinary backgrounds in chemical engineering, surface/interface engineering, and chemistry. In addition, integration engineers must understand the effects of cleaning in much greater depth because of its impact on key process modules such as high-K gate oxide implementation, strain engineering, and low dielectric constant integration for copper interconnects. (PDF)
Nov. 2 Host:  Reyes Sierra, University of Arizona
Presentation byValeria Ochoa, Chemical and Environmental Engineering, University of Arizona
Topic "Processes for the Removal of Perflurooctane Sulfonate (PFOS) from Semiconductor Effluents"
Abstract:  PFOS and other perfluoroalkyl sulfonate surfactants (PFAS) are critical components in a variety of photolithography and semiconductor manufacture processes. Perfluorinated surfactants are under investigation as emerging pollutants due to recent reports of their world-wide distribution, environmental persistence and bioaccumulation potential. Literature data on the removal of perfluoroalkyl sulfonates is very limited. Treatment techniques to eliminate these ubiquitous contaminants from industrial effluents are needed to minimize environmental discharges. This study evaluated the effectiveness of three approaches for the removal of PFOS from semiconductor effluents: reductive dehalogenation, activated carbon adsorption and biosorption. (PDF)
Nov. 16 Host:  James Watkins, Director of NSF Center for Hierarchical Manufacturing, Co-Director of MassNanoTech, Polymer Science and Engineering Department, University of Massachusetts
Presentation by:  Ken Carter, Associate Professor, Polymer Science & Engineering,
University of Massachusetts

Topic:  “Imprint Lithographic Techniques for Micro and Nano Patterning”
Abstract
Contact lithography techniques, such as imprint lithography show great promise in the ability to transfer nanoscale patterns in a efficient, economic fashion. We have found the networks composed of a mixture of photopolymerizable monomers (acrylates and methacrylates) can be molded and photocured, providing image transfer.  We have been exploring the modification of the photopolymer network composition, incorporating functional co-monomers (imimer, etc.) that allow for secondary modification of the patterned surface.  We have demonstrated graft polymerizations from patterned surfaces and the ability to adjust feature sized and chemical functionality in the nanometer size regime.  Additionally, we have been exploring metal/polymer interfaces of the patterned networks and subsequent modification of network functionality.  These patterned materials are finding utility as high contrast resists and templates for electronic test structures.  The synthesis, characterization and use of these new materials are discussed.  The materials budget of imprint lithography compared to conventional photolithography can introduce environmental benefits as there are fewer chemical intensive process steps, such as rinsing and developing of exposed resists. (PDF)
Nov. 30 Host:  Karen Gleason, Alexander and I. Michael Kasser Professor of Chemical Engineering and Associate Director of the Institute for Soldier Nanotechnologies (ISN), MIT
Presentation byKaren Gleason, MIT
Topic
"Density functional theory applied to the Chemical Vapor Deposition of Low Dielectric Constant Materials"
Abstract:  One of the main challenges in designing a low dielectric constant material to replace silicon dioxide with the class of materials referred to as organosilicate glasses (OSGs) has been to decrease the dielectric constant with the addition of alkyl functionality while maintaining the structural strength of the Si–O network.  Molecular simulation of possible OSG precursors is important to allow for intelligent precursor selection for robust OSG thin film deposition via CVD. Density functional theory is a useful tool in understanding and subsequently controlling the initial chemistry in the CVD process and also for predicting the 29Si NMR chemical shifts of a variety of organosiloxane moieties including monomers or precurors for polymerization and representative segments of organosiloxane polymers or thin films. The enthalpies of formation and enthalpies of reaction at 298 K for a set of Si:C:O:H species derived from methylsilanes and methoxymethylsilanes were computed using the B3LYP density functional theory.   Bond strengths and reactions with O atom and H atom are examined in the context of understanding the initial reactions in chemical vapor deposition.  The Si–H bond was calculated to be 8.4 kcal/mol stronger than the Si–C bond in methylsilanes and to increase by 0.6 kcal/mol with increased methylation; however, the thermochemistry of methylsilane reactions with O atom favors scission of the Si–H bond to produce hydroxyl and methylsilyl radicals.  Thermodynamic control over the reaction pathways of methoxymethylsilanes is possible only when considering the reaction with H atom for which methoxymethylsilanol formation is favored.  This illuminates a conceivable strategy to control the Si–O–Si bonding network while retaining methyl functionality in a CVD thin film by controlling the ratio of methoxy functionality and free hydrogen in the reactor.  (Thomas B. Casserly and Karen K. Gleason) (PDF)
Dec. 14 Host:  Farhang Shadman, Chemical & Environmental Engineering, University of Arizona
Presentation by:  Junpin Yao and Harpreet Juneja, Chemical & Environmental Engineering, University of Arizona
Topic
"Interactions of Moisture with Dielectric Films"
Abstract
The interactions of moisture with low-k (blanket and etched/ashed p-MSQ) and high-k (HfO2, ZrO2) films were investigated by applying a unique experimental set up with mass spectrometers for real time characterization of moisture uptake and removal.  Process models were developed that provides information on the dynamics of moisture adsorption and desorption in these films.  The effect of etching, ashing and cap layer on moisture uptake and removal in these films was also investigated.  Usefulness of these models was illustrated by developing an optimum purge recipe that would help in minimizing gas and energy consumption and the required purge time. (PDF)
Dec. 28 No TeleSeminar - CHRISTMAS HOLIDAY

Report Changes : Top : Back