SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing (ERC)

                                                          **  Bringing Sustainability to Semiconductor Manufacturing **

A multi-university research center leading the way to environmentally friendly semiconductor manufacturing, sponsored by the Semiconductor Research Corporation's Global Research Collaboration (GRC) Research Program
 




 

 

Home  : Seminar Series : Schedule
Schedule:

Adobe Acrobat Reader For archived presentations:  1998  1999   2000  2001  2002  2003  2004  2005  2006

- 2007 -
Jan. 11 HostDavid Graves, Department of Chemical Engineering, University of California-Berkeley
Presentation by:  
Mark Goldman, Department of Chemical Engineering, University of California-Berkeley
Topic
"Beam Studies of Ultra Low-K Film Damage"
Abstract
As the semiconductor industry moves towards devices with ever-smaller critical dimensions, RC delay is becoming an increasingly important technological barrier to device performance.  To overcome these problems, new materials such as copper interconnects and low-k films have been developed, and new processing methods, such as the damascene process, are being used.  However, with these new technologies, new hurdles must be overcome before they can be used to their full potential.  One of these hurdles is the incompatibility of ultra low-k films with the photoresist strip process.
Our research is designed to elucidate fundamental mechanisms of plasma-induced ULK damage occurring during plasma etch and strip, and to suggest ways to minimize or eliminate it in an environmentally friendly way. Our current focus is on the role of radical chemistry and ions on ultra low-k film damage.  It is widely known that oxygen plasma processing significantly damages methyl-doped ultra low-k films, and we will show that the removal of the methyl groups from the ultra low-k film is a diffusion limited process that follows Deal-Grove-like behavior.  In addition, we will show the effect of other radical chemistries and rare-gas ion bombardment on the low-k films. (PDF)
Jan. 25 Host:  Ara Philipossian, Department of Chemical and Environmental Engineering, University of Arizona
Presentation by:
  Daniel Rosales-Yeomans, Department of Chemical and Environmental Engineering, University of Arizona
Topic "Evaluation and Modeling of the Effect of Novel Pad Grooves for Copper CMP" Abstract:  Differences in pad grooves can affect the chemical processes in copper CMP by modulating the: (a) net flow under the wafer, (b) process temperature, and (c) reactants and polish debris concentrations. Furthermore, changes in the mechanical abrasion of the passive film may occur due to differences in pad grooving which can in turn affect: (a) slurry film thickness under the wafer, (b) shear force, (c) pad compressibility and (d) pad-wafer contact area. The effective transport of slurry in and out of the pad-wafer interface becomes critical particularly for processes in which by-products are detrimental to polishing rates. In this study, novel groove patterns (i.e. a combination of logarithmic and spiral, as well as slanted concentric grooves) where evaluated. Some of these designs were intended to effectively control the introduction of fresh slurry into, and the discharge of spent slurry and debris, out of the pad-wafer interface. Polishing was performed on polyurethane pads divided into two different groups of groove designs. Group 1 included combinations of logarithmic and spiral grooves in different directions (positive and negative). Positive grooves were intended to retain the slurry while negative grooves were meant to aid in slurry and by-product discharge. Group 2 consisted of pads with concentric grooves slanted at different degrees (zero, 20 and 30 degrees) and directions (positive if leaning towards the edge of the pad, and negative if leaning towards the center of the pad). The pads where tested and statistically compared to a commercial pad in terms of removal rate, average coefficient of friction and average pad leading edge temperature. Theoretical examination of the experimental data was performed in order to establish the mechanical and chemical contributions to the process. A novel 3-step model, in combination with a previously developed Flash Heating (FH) temperature model, was proposed for copper CMP. This model presented a new expression to characterize the rate of oxide growth and the addition of a third step to characterize the dissolution rate of copper oxide. (PDF)
Feb. 8 HostsPaul McIntyre, Deputy Director, Geballe Lab for Advanced Materials, Materials Science and Engineering, Stanford University, and Krishna Saraswat, Professor, Engineering and Science Institute, Stanford University
Presentation by:
  Dr. Wilman Tsai, Senior Program Manager of Technology Manufacturing Group, Intel Corporation
Title"Challenges and Opportunities of Emerging Nanotechnology for Future Electronics Applications"
Abstract The continual Si CMOS device scaling according to Moore’s law will need revolutionary channel material beyond Si past 22 nm node in year 2013-2019. Potential candidate are carbon nanotubes (CNT), semiconductor nanowires, Ge and III-V materials, for future high-speed and low-power computation applications These materials, in general, have significantly higher intrinsic mobility (either higher electron or hole mobility) than Si, and they can be potentially used to replace Si as the channel of the transistor for very high speed applications. Both CNT and semiconductor nanowires are formed using “bottom-up” chemical synthesis, and they currently suffer from the fundamental placement and positioning problem. On the other hand, Ge and III-V materials can be patterned into desirable device structures using conventional “top-down” lithographic and etch techniques. Ge exhibit 15-30x higher hole mobility and III-V materials have ~50-100x higher electron mobility than Si, The objective of this paper is to highlight the various opportunities and fundamental technological challenges of Ge and IIIV Nanoelectronics, for potential future high-speed and low-power logic applications. (PDF)
Feb. 22 No TeleSeminar - 11th Annual ERC Review Meeting, Tucson AZ
March 8 No TeleSeminar
March 22 Host:  Farhang Shadman, Chemical and Environmental Engineering, University of Arizona
Presentation by:
  Raymond A. Sierka, Professor Emeritis, Department of Chemical and Environmental Engineering, University of Arizona
Topic Title:  “Activated Carbon-Characteristics, Performance and Regeneration”
Abstract:  Activated Carbon is the best broad spectrum adsorbent for removing contaminants from liquid and gas streams.  Commercially available activated carbons do not perform equally. This talk will address adsorption performance as a function of pore size and volume distribution as well as surface chemistry.  Existing manufacturing and regeneration protocols affect activated carbon properties and performance.   A newly developed Fenton-based chemical oxidation process overcomes problems with currently employed thermal regeneration technology. (PDF)
April 5 Host:  Duane Boning, Associate Department Head, Electrical Engineering and Computer Science, Massachusetts Institute of Technology
Presentation by:  Duane Boning, MIT
Topic"Modeling of Pattern Dependencies in the Fabrication of Multilevel Copper Metallization"
Abstract:  Multilevel copper metallization for ULSI circuits is a critical technology.  Topographical variations are known to exist in copper interconnect, due to pattern dependencies in various processes, especially copper electrochemical deposition (ECD) and chemical-mechanical planarization (CMP).  First, a physics-based chip-scale copper ECD model is described.  By considering copper ion depletion effects, and surface additive adsorption and desorption, the plating model is able to predict the initial topography for subsequent CMP modeling with sufficient accuracy and computational efficiency. Second, a compatible chip-scale CMP modeling is described.  The CMP model integrates contact wear and density-step-height approaches, so that a consistent and coherent chip-scale model framework can be used for copper bulk polishing, copper over-polishing, and barrier layer polishing stages.  The integrated multilevel copper metallization model is applied to the co-optimization of the plating and CMP processes.  An alternative in-pattern (rather than between-pattern) dummy fill strategy is proposed.  The integrated ECD/CMP model is applied to the optimization of the in-pattern fill, to achieve improved ECD uniformity and final post-CMP topography. (Duane Boning and Hong Cai, MIT) (PDF)
April 19 Host:  Srini Raghavan, University of Arizona
Presentation by:  Dr. Krishna Muralidharan, Post-doctoral research associate, Department of Materials Science and Engineering., University of Arizona
Topic title:  "Fundamental processes in megasonic-irradiated fluids : Applications to cleaning"
Abstract:  Megasonic cleaning is a traditional approach for cleaning of photomasks and wafers. While it is believed that in the megasonic system, two major mechanisms, namely, acoustic streaming and cavitation are instrumental in contaminant removal, a clear picture regarding the fundamental processes in play during the megasonic cleaning process has not yet emerged. In this talk, we focus on characterizing the exact role of the two mechanisms via modeling; specifically, using continuum-level interface response theory (IRT) and atomistic-level molecular dynamics (MD), we carefully examine acoustic streaming and acoustic cavitation respectively, in order to develop a fundamental understanding of the megasonic cleaning process. (PPT) (MOV4)
May 3 Host:  Jim Watkins, University of Massachusetts
Presentation by:  Tom Russell, Professor of Polymer Science and Engineering, and Director of the NSF MRSEC on Polymers, University of Massachusetts
Topic Title: "Nondisruptive Lithographic Processes on the Nanoscopic Level" 
AbstractAs the size scale of features continue to shrink in devices, the use of self-assembly, i.e. a “bottom up” approach, for device fabrication becomes increasingly important. Yet, simple self-assembly alone will not be sufficient to meet the increasing demands place on the registry of structures, particularly nanostructured materials.  Several criteria are key in the rapid advancement and technology transfer for self-assembling systems.  Specifically, the assembly processes must be compatible with current “top down” approaches, where standard photolithographic processes are used for device fabrication.  Secondly, simple routes must be available to induce long-range order, in either two or three dimensions, in a rapid, robust and reliable manner.  Thirdly, the in-plane orientation and, therefore, ordering of the structures, must be susceptible to a biasing by an external, macroscopic means in at least one, if not two directions, so that individual elements can be accessed in a reliable manner.  Block copolymers, specifically block copolymers having a cylindrical microdomain morphology, are one such material that satisfy many, if not all, of the criteria that will be necessary for device fabrication.  Here, we discuss several routes by which these versatile materials can be used to produce arrays of nanoscopic elements that have high aspect ratios (ideal for templating and scaffolding), that exhibit long-range order, that give access to multiple length scale structuring, and that are amenable to being biased by macroscopic features placed on a surface.  (PPT)
May 17 Host:  James Farrell, University of Arizona
Presentation by:  Lily Liao, PhD, Research Associate, Department of Chemical and Environmental Engineering, University of Arizona
Topic title:  "
Electrochemical Water Treatment Using Boron Doped Diamond Film Electrodes"
Abstract:  In recent years there has been increasing interest in electrochemical methods for removing both organic and inorganic contaminants from water.  This research investigated the use of boron doped diamond film (BDD) electrodes for removing contaminants via both oxidative and reductive processes.  Electrochemical oxidation of organic contaminants at BDD anodes is capable of mineralizing even the most recalcitrant compounds to CO2 and H2O by a combination of direct oxidation and indirect oxidation by hydroxyl radicals produced from water oxidation.  Electrochemical reduction can convert dissolved contaminants into precipitates or toxic compounds into nontoxic compounds via both inner- and outer-sphere electron transfer mechanisms.  Examples on the use of BDD electrodes for removing metals, chelating agents, chlorinated solvents, and perfluorinated organic compounds will be presented.  (PDF)
May 31 Host:  Karen Gleason, Department of Chemical Engineering, Massachusetts Institute of Technology
Presentation by:  Hilton G. Pryce Lewis, Ph.D., President, GVD Corporation
Topic title "Where Are They Now? A Former ERC Student Describes His Entrepreneurial Experiences"
Abstract: 
Hilton Pryce-Lewis, a former ERC graduate student, describes the experience of transferring technology from the lab to the commercial sector. His company, GVD Corporation, has spent the last several years commercializing vapor deposition technology developed by Dr. Karen Gleason at MIT. GVD’s primary product is an ultra-thin PTFE (Teflon®) coating process which combines all of the benefits of PTFE (lubricity, release, low-k) with a low-temperature deposition process amenable to a wide range of substrates. It obviates the need for solution processing and curing, and is particularly well suited for micro- and nano-sized substrates with complex geometries. Dr. Pryce-Lewis will discuss the technology and its benefits, and outline GVD’s experiences and challenges in scaling up the technology, finding markets, and raising money.  New areas of interest and exploration will also be discussed. (PDF)
June 14 Host:  Anthony Muscat, Chemical & Environmental Engineering, University of Arizona
Presentation by:  Rachel Morrish, Chemical & Environmental Engineering, University of Arizona
Topic title:  Etching of Silicon Oxynitride Films in Supercritical CO2
Abstract:  Silicon oxynitride films have properties intermediate between pure SiO2 and Si3N4, prompting their integration into a range of advanced electronic and optical devices. In order to adequately process and scale these devices, new etching techniques are required that alleviate issues of pattern collapse and stiction. This study reports the findings of a nonaqueous etching technique using an HF/pyridine complex dissolved in supercritical carbon dioxide to remove silicon oxynitride. Under supercritical conditions, CO2 has liquid-like densities, gas-like diffusivities, and zero surface tension allowing it to nondestructively penetrate nanoscale features. Supercritical CO2 based solvents offer an additional benefit of reducing the environmental burden of processing. Carbon dioxide is nontoxic, nonflammable, and under supercritical conditions, can be readily separated and recycled by reducing the pressure.
The reaction in supercritical CO2 etched the silicon oxynitride film and also formed a water-soluble, salt product layer identified as (NH4)2SiF6. This evolving salt layer hindered the etching reaction and resulted in an apparent reaction order less than one. A maximum etch rate of 3.1 nm/min was found with the highest etchant concentration studied, however lower concentrations did provide a more uniform etch and could be used for patterning small structures. The morphology, chemical structure, and bonding character of the (NH4)2SiF6 layer was analyzed and used to develop a proposed reaction scheme. (PDF)
June 28 HostReyes Sierra, University of Arizona
Presentation by
Victor Gamez, Department of Chemical & Environmental Engineering, University of Arizona
Topic Title“Non-PFOS/non-PFAS Photoacid Generators: Environmentally Friendly Candidates for Next Generation Lithography”
Abstract:
 Perfluorooctane sulfonate (PFOS) and related long chain perfluorinated alkyl surfactants (PFAS) are vital to semiconductor manufacturing where they are utilized in photoacid generators (PAGs), anti-reflective coating (ARCs), and certain surfactants.  Concern about the environmental and public health impact of these compounds is increasing due to recent reports of their world-wide distribution, environmental persistence and bioaccumulation potential. Efforts to develop alternatives to PFOS have focused on performance issues, and information on the environmental compatibility of new PAGs is generally lacking. This project aims to develop new PFOS-free (and PFAS-free) PAGs and investigate the environmental behavior of these PFOS-free alternatives.  Different PAGs developed at Cornell University were previously shown to have excellent photolithographic performance. Here we report on studies conducted to characterize their environmental behavior. The PAGs were submitted to various toxicity assays (Microtox, mitochondrial toxicity test or MTT, and methanogenic inhibition) as well as microbial degradation tests under different redox conditions. The results were analyzed and compared to PFOS/PFAS PAGs. (PDF)
July 12 Host:  Yoshio Nishi, Stanford University
Presentation by:   Josh Ratchford, Stanford University
Topic Title:  "Non-destructive gold removal from germanium nanowire samples"
Abstract:  Germanium nanowire growth is readily achieved through the CVD of a germanium precursor gas with gold nanoparticles at temperatures as low as, and in some cases, below the bulk eutectic temperature of 360˚ C. Growth of germanium nanowires occurs from a supersaturated liquid alloy formed from a gold nanoparticle and germanium. Because the deep level states created by gold increases pn junction leakage current, and often change the specific resistivity of silicon and germanium, removal of the gold used for germanium nanowire growth is necessary in order to fabricate reliable, high yielding, and high performance memory from germanium nanowires.  We present a non-destructive method for removing gold from germanium nanowire samples with minimal volumes of KI(3)(aq) solutions, measurements of the chemical state of the germanium nanowire surface after gold removal and the effectiveness of the gold removal procedure.  (PDF)
July 26 Host:  Steve Beaudoin, Professor and Associate Head, School of Chemical Engineering, Purdue University
Presentation byBum Soo Kim and Steve Beaudoin, Purdue University
Topic title:  "Electrochemical Processes on Cu Surfaces during CMP-Relevant Time Frames"
Abstract
To understand the exact mechanisms of chemical mechanical planarization and develop more advanced processes, better understanding of the role of chemical reactions during polishing is required.  This work focuses on reactions on the surface of copper, including etching and repassivation. Specifically, surface reactions on copper in phosphoric and nitric acid-based solutions were investigated using electrochemical tools, including potentiodynamic (PD) scans and studies of the time-evolution of impedance at different DC potentials. PD scans were performed in order to characterize the active/passive behavior of copper. Different DC potentials from the active dissolution, active/passive transient, and passive region were applied while the impedance at 100 kHz was monitored.  The open circuit potential was also studied in this manner. Interpretations were made for the outcomes of these experiments in terms of surface layer formation. (PDF)
Aug. 9 Host: Anthony Muscat, University of Arizona
Presentation by:  Byoung Hun Lee, Program Manager, Advanced Gate Stack Program, FEP, SEMATECH
Topic title:  "Challenges in the gate stack technology for future semiconductor devices"
Abstract: Si based CMOS device has gone through multiple material changes in recent technology nodes.  Most recently metal electrode and high-k dielectric have been implemented in 45nm node devices. While there are many complications from the implementation of new gate stack materials yet to be understood, another major challenge is approaching; the implementation of alternative channel materials.  In this talk, major advances in the past ten year of gate stack study will be reviewed and the gate stack research at SEMATECH will be introduced to provide insights for the future research and collaborations.  (PDF)
Aug. 23 Host:  Duane Boning, Professor of Electrical Engineering and Computer Science, Associate Department Head, EECS, Massachusetts Institute of Technology
Presentation byDuane Boning, Massachusetts Institute of Technology
Topic title:  "Environmentally Benign Manufacturing of 3D Integrated Circuits"
Abstract: Environmental impact evaluation of future technologies, before they are introduced into manufacturing, is needed in order to identify potentially environmentally harmful materials or processes and understand their implications, costs, and mitigation requirements. In this work, an early assessment methodology has been applied to 3D IC wafer-to-wafer bonding technology, motivating the exploration of process alternatives to reduce the cost, energy, and material requirements for the handle wafer steps.  A between-die channel approach, as well as an oxide release layer, are developed to make the handle wafer release more efficient.  In addition, a solid liquid inter-diffusion (SLID) bonding approach using copper-indium at 200C is explored, to enable low temperature bonding and release alternatives.  (PDF)
Sept. 6 Host:  David Mathine, Assistant Professor of Optical Sciences and
Assistant Professor of Electrical and Computer Engineering, University of Arizona
Presentation by:  David Mathine, University of Arizona
Topic Title: "Cell-Based Biosensors for Toxicity Testing of New Chemicals"
Abstract: The rapid development of new chemicals makes the current approach to toxicity testing unrealistic since the testing relies on laborious and expensive animal testing.  One approach to increase the throughput of toxicity testing is to use the physiological responses from cells after exposure to an unknown chemical.  Cells from the heart, kidney, prostate, liver, and other organs can be used to test the responses to these various cell types. 
Initial work has concentrated on calcium studies of cells with exposure to TCE.  TCE was chosen because it is a known toxin and can be used to verify the sensor approach.  Calcium was chosen since it is a common intracellular messenger used in cells.  Initial results were successful in showing toxic response of heart cells.  Future work will concentrate on new chemicals.  CMOS photodetectors are being developed for the sensing of fluorescent markers. We expect that the greater sensitivity of the CMOS chip will be able to provide efficient measurement of calcium changes and a more accurate measurement of calcium release from the intracellular store. Recent progress will be discussed. (PDF)
Sept. 20 Host:  Ara Philipossian, Chemical & Environmental Engineering, University of Arizona
Presentation by Daniel Rosales-Yeomans, Department of Chemical and Environmental Engineering, University of Arizona
Topic Title:  "Effect of Concentric Slanted Groove Patterns on Slurry Flow during Copper CMP"
Abstract:  This investigation presents the analysis of concentric grooves with different degrees of slant for the optimization of copper Chemical and Mechanical Planarization (CMP). Taking into consideration the common industrial application of the concentric groove pattern, CMP pads where prepared with concentrically grooves having different degrees and directions of groove slant, 0° (Zero), ± 20° and ± 30°. The present study determines and explains the effect of degree and direction of groove slant, applied wafer pressure, sliding velocity and slurry flow rate on the overall hydrodynamics of a typical copper CMP process by quantifying the slurry film thickness in the pad land area-wafer region. This is done via Dual Emission UV Enhanced Fluorescence (DEUVEF) measurements which use fluorescent dyes dissolved in the slurry to relate light intensity to film thickness. This approach allows a better understanding of the slurry transport on the pad surface, resulting in process optimization through “smart” groove designs which could decrease COO and positively affecting ESH (reduction of pad and slurry consumption).
During DEUVEF, the slurry is tagged with 2 different fluorescent dyes (Coumarin at 0.25 g/l and Calcein at 1.00 g/l). When excited by UV, each dye emits fluorescent light at different wavelengths. Two CCD cameras capture the emitted light which is correlated to film thickness under the wafer via an intensity-film thickness calibration curve. A 200-mm Fujikoshi Machinery polisher is used for all experiments. The film thickness measurements are taken in-situ at several wafer pressures, sliding velocities, slurry flow rate and groove patterns (i.e. degree and direction of groove slanting). A 200-mm quartz wafer is used for polishing using in-situ conditioning for 30 seconds, while the CCD cameras record slurry film thickness under the wafer. Slurry film thickness results are compared to copper polishing data under reduced slurry flow rate conditions for the same groove designs, indicating a possible approach to reduced slurry consumption without compromising removal rate and possibly defectivety.  [D. Rosales-Yeomans, University of Arizona, Tucson, Arizona, USA; H. Lee, University of Arizona, Tucson, Arizona, USA; T. Suzuki, Toho Engineering, Yokkaichi, Japan; A. Philipossian, University of Arizona, Tucson, Arizona, USA] (PDF)
Oct. 4 Host:  Alan West, Department of Chemical Engineering. Columbia University
Presentation by
Kristin Shattuck, Columbia University
Topic Title:  "Investigation of phosphate based electrolytes for use during Cu-ECMP"
Abstract:  The use of high down forces, abrasive slurry particles, and strong oxides make chemical mechanical planarization (CMP) techniques unfavorable for the future of CMOS device fabrication.  The current work is focused on studying electrochemical mechanical planarization (ECMP) as a possible replacement or compliment to CMP.  ECMP has not been well studied and information about key factors such as electrolyte composition, i.e., influence of additives, pad/wafer interactions, pad/electrolyte interactions, applied electrical potential, effect of down force, tool geometry, are crucial to understand before ECMP will be considered for mainstream wafer processing.  Our group has been investigating phosphate based electrolytes for use during ECMP.  Technical results will highlight data obtained by our ECMP tool, as well as more extensive electrolyte studies using microfluidic and RDE setups.  Removal rates at various applied voltages are established and the effect of pad type is currently being investigated.  Planarization results using the ECMP tool are also being performed using a basic test structure.  (PDF)
Oct. 18 Host:  Farhang Shadman, University of Arizona
Presentation by
Junpin Yao and Asad Iqbal, Chemical and Environmental Engineering, University of Arizona
Topic Title:  "Interaction of Molecular Contamination with Surfaces"
Abstract: 
Part I: With Dielectric Films
An aspect which affects the performance of integrated circuits is the interaction of dielectric surfaces with Atmospheric Molecular Contamination (AMC).  The impact depends strongly on the nature of the interactions between the contaminants and the dielectric surfaces.  The outgassing dynamics of IPA in BDIIX porous low-k dielectric films were preliminary studied with Fourier Transform Infrared Spectroscopy (FTIR). A unique set up was developed in this research for real-time and in-situ characterization of porous low-k dielectric films. In order to study the changes happening on the surface real-time, it is important to have a good control of the surrounding medium and an effective purge process, otherwise the accumulation of gas phase impurity will affect the results. The novel design of the cell allows well controlled purging of the samples and can be used for dynamics study. Transmission mode has been used in this study.  The results could be used to extract fundamental transport parameters such as diffusivity and solubility of impurities in low-k films.  Furthermore, the mechanism of interactions of isopropyl alcohol (IPA) with ZrO2 film was also investigated. The results revealed that the adsorption of IPA follows multilayer dynamics. The interaction with the bare dielectric surface is non-dissociative and relatively weak. However, IPA chemisorbs on a hydroxylated oxide. The isotope labeling studies revealed an exchange mechanism in which IPA undergoes an esterification reaction with chemisorbed H2O.
Part II: With Stainless Steel Surfaces
Electro-polished stainless steel (EPSS) tubing is widely used in gas distribution system of semiconductor industry.  Sometimes, because of system pressure fluctuation, back diffusion and incorrect operations, moisture concentration in gas distribution system could significantly go up to such levels that may deteriorate manufacturing processes.  A technique, that combines measurement and process modeling, is developed to study the dynamics of moisture absorption and desorption on EPSS surfaces.  This technique can be used to optimize the dry-down time and lower the purge-gas consumption during system start-up or recovery, and helps in predicting contaminants distribution with time and space in a gas distribution network.  It was revealed that how moisture back diffusion can be stopped with minimum trickle flow at the end of laterals, the effect of system pressure fluctuation on the change of moisture concentration, and what kind of purge conditions (purge gas purity and purge temperature) are required to clean a single contaminated transfer line.  Meanwhile, it was found that moisture removal from stainless steel surfaces is a slow and activated process.  (PDF)
Nov. 1 Host:  Christopher Ober, Materials Science & Engineering, Cornell University
Presentation by:  
Nelson Felix, Materials Science & Engineering, Cornell University
Topic Title:  "Achieving Small Dimensions with an Environmentally Friendly Solvent:  Photoresist Development Using Supercritical CO2"
Abstract:  For more than a decade the idea of using supercritical fluids in semiconductor processing has been actively explored by many researchers.  With its low critical temperature, zero surface tension, and non-polar inert character, supercritical CO2 (scCO2) shows great potential for its ability to process sensitive materials with patterned features on a very small length scale.  However, barring excessive fluorination, most materials traditionally used by industry show poor solubility in this solvent. 
This is where molecular glass resists show their unique nature.  Because of their small size, molecular glasses have the potential for scCO2 solubility while still showing equal performance to polymer photoresists.  Also, because of their small, discrete structures, these materials also can show lower line-edge roughness compared to traditional polymer resists.  We will demonstrate some recent successes in developing photoresist features in the sub-65 nm range with only scCO2 as the developer solvent.  Additionally, we evaluate the effect of molecular structure on photoresist dissolution rate and show the consequences of protecting groups and glass transition on dissolution. These results cover a range of both positive-tone and negative-tone systems. (PDF)
Nov. 15 Host: Reyes Sierra, Department of Chemical and Environmental Engineering, The University of Arizona
Presentation by
:
Valeria Ochoa, Department of Chemical and Environmental Engineering, The University of Arizona
Topic Title
:
" Impact of fluoride on biological wastewater treatment systems”
Abstract:
  Fluoride is a common contaminant in a variety of industrial wastewaters, including semiconductor manufacturing effluents. Industrial effluents are expected to meet stringent limits for fluoride in order to qualify for direct discharge to publicly-owned treatment works.  The low allowable discharge limits are partly motivated by the suspected role of fluoride as inhibitor of biological treatment processes. However, available information on the inhibitory effects of fluoride towards microorganisms in wastewater treatment systems is very limited. We will present results of recent research conducted to characterize the toxic response of fluoride towards the main microbial populations responsible for the removal of organic constituents and nutrients in wastewater treatment processes. In addition, results from bioassays to assess the effect of fluoride on typical effluent biomonitoring species will be discussed. (PDF)
Nov. 29 Host:  Srini Raghavan, Materials Science and Engineering, University of Arizona
Presentation by:  Dr. Robert Small, RS Associates, Tucson AZ
Topic title"BEOL Cleaning: Some Thoughts on the Coming Challenges"
Abstract:
 In this presentation, Bob Small will review wet chemical BEOL formulations currently in use in IC manufacturing companies.  He will make a critical comparison between wet and dry formulations for BEOL cleaning, then discuss some of the challenges that are posed by newer generation materials in terms of wetting, cleaning and drying. [Dr. Robert Small is an active consultant for many chemical and integrated circuit companies.  Having spent many years at EKC Technology (aka du Pont-EKC), where he headed the development of post-CMP and BEOL cleaning formulations, Dr. Small  is also an adjunct professor with the Department of Materials Science and Engineering, University of Arizona, work closely with Professor Raghavan.]  (PPT)
Dec. 13 Host:  Karen Gleason, Massachusetts Institute of Technology
Presentation by:
  Nathan J. Trujillo, Department of Chemical Engineering, Massachusetts Institute of Technology
Topic title"Additive Patterning of Low Dielectric Constant Polymer Using iCVD"
Abstract:   As the average feature size in integrated circuits continues to decrease, reducing the dielectric constant of the interconnect dielectric (ILD) becomes crucial to minimizing RC delay, power consumption and cross talk noise. The ITRS interconnect technology roadmap requires ILD with bulk dielectric constants between 2.1-2.4 by the 40nm node and has also set fourth materials management requirements for EHS friendly processing which require 90% raw materials usage in low-k processing by 2011. To accommodate this, current lithographic techniques used for dielectric patterning must be modified to require significantly smaller volumes of solvent. Selective deposition of patterned low-k materials is an off roadmap approach to process step reduction, whose successful implementation is both economically and environmentally beneficial. Initiated CVD is a low-energy, one step, solvent-free process for producing polymeric thin films from one or more monomer species and an initiator species. iCVD is an attractive technique for creating low-k films from cyclic siloxane precursors, as the low energy input helps preserve the original functionality of the monomer. In this talk we will discuss additive patterning of 1,3,5,7-Tetravinyltetramethylcylcotetrasiloxane, a low-k monomer, using iCVD and non-conventional lithography as a possible means of achieving environmentally friendly dielectric processing.   [Authors: Nathan J. Trujillo and Karen K. Gleason]  (PDF)
Dec. 27 No TeleSeminar - CHRISTMAS HOLIDAY

Report Changes : Top : Back