SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing (ERC)

                                                          **  Bringing Sustainability to Semiconductor Manufacturing **

A multi-university research center leading the way to environmentally friendly semiconductor manufacturing, sponsored by the Semiconductor Research Corporation's Global Research Collaboration (GRC) Research Program
 




 

 

Home  : Seminar Series : Schedule
Schedule:

Adobe Acrobat Reader For archived presentations:  1998  1999   2000  2001  2002  2003

- 2004 -
Jan. 1, 2004 No TeleSeminar--HAPPY NEW YEAR!
Jan. 8 Host:  Gary Rubloff, University of Maryland
Presentation by:  Laurent Henn-Lecordier, Department of Materials Science and Engineering / Institute for Systems Research, University of Maryland
Topic: 
"Monitoring and Control of Binary Gas Mixtures from Solid Phase MOCVD Sources using an Acoustic Sensor"
Abstract: The delivery of reactant species for an increasing variety of new materials is important to Si ULSI, wide-bandgap semiconductors, and other areas.  ESH benefits from improved delivery systems (e.g., for MOCVD or PECVD) can be anticipated in at least two areas: (1) advanced process control, where higher yields and equipment effectiveness convey ESH rewards; and (2) greater flexibility in chemical process design, where a wider variety of precursors meet manufacturability constraints. We have developed and demonstrated significant advances in controlling the delivery of low vapor pressure sources for CVD-based processes.
In-line acoustic sensors have been used for several years in MOCVD source delivery systems to monitor and control the upstream composition of binary gas mixtures obtained from temperature- and pressure-controlled “bubbler” vessels. Since the vapor pressures of some commonly used MOCVD solid sources is low, extending into the sub-Torr range, it becomes difficult to maintain a constant – but minute - concentration of reagent in a high flow of carrier gas. In addition, aging effects in the source severely affect its stability resulting in low reproducibility of the process and film properties. These effects have been minimized by replacing the source at an early stage, typically before half its normal lifetime.
 
In this study, an Inficon Composer acoustic sensor was implemented to measure and control the concentration obtained from two solid phase sources using H2 as a carrier gas, including (1) trimethylindium (TMI), which is used to grow GaInAs III-V compound semiconductors for optoelectronics, and (2) bis(cyclopentadienyl) magnesium (Cp2Mg) which is used in part as a p-type doping element in nitride-based compound semiconductors for blue LED’s.  Both sources are crystalline solids with low vapor pressures (2.5 and 0.04 Torr at 25
°C respectively for TMI and Cp2Mg.
Using the acoustic sensor, reagent levels as low as 1 ppm were monitored and found in close correlation with the expected concentrations over a broad range of total pressure from 500 down to 60 Torr. Closed loop process control was implemented to maintain the TMI and Cp2Mg composition on target in the presence of long term temperature drifts. Despite induced variations of the precursor vapor pressure up to 50%, the upstream composition remained stable within ±0.15% for TMI (at 0.5 mol.% set point) and ±0.3% for Cp2Mg (at 0.04 mol.% set point). Induced short term disturbances were also significantly minimized and the effect of downstream condensation was put in evidence. Potential benefits resulting from the implementation of this sensor-based control methodology will be discussed, such as the improved long term process reproducibility required for high performance devices and the increase of the solid source usable lifetime.
[L. Henn-Lecordier, J.N. Kidder, G. W. Rubloff, Department of Materials Science and Engineering/Institute for Systems Research, University of Maryland]  (PDF)
Jan. 15 Host:  Kim Ogden, University of Arizona
Presentation by:  
Kim Ogden, University of Arizona
Topic: "
Survival and Adaptation of Bacteria in Ultrapure Water Systems"
Abstract:
Bacteria isolated from ultrapure water (UPW) systems were examined for their ability to survive in UPW. The ultimate goal is to elucidate potential carbon and energy sources for the bacteria and determine how to destroy them. Two strains of Ralstonia pickettii isolated from different areas within the UPW system (pretreatment and polishing loop, and referred to as strains 3A1 and MF254A, respectively) and a strain of Bradyrhizobium sp. were compared to increase our understanding of the fundamental behavior of bacteria contaminating UPW. R. picketti MF254A was capable of cryptic growth if the cells supplied as nutrients were heat-killed, however, R. picketti 3A1 and Bradyrhizobium sp. were not. If the cells supplied as nutrients were killed using UV254 light, cryptic growth was not observed. However, cells treated with UV254 light typically adapt to the UV light and thus are harder to destroy.  Preliminary protein electrophoresis results indicate that bacteria in UPW “turn on” 2 to 3 proteins. Identification of these proteins is underway.  SEM analysis shows that the bacteria do encapsulate in Ge surfaces. (PDF)
Jan. 22 Host:  Karen Gleason, Massachusetts Institute of Technology
Presentation by: 
E. Todd Ryan, Advanced Micro Devices, Inc.
Topic:
"Integration of Nanoporous Materials into Advanced Microprocessors"
Abstract:This talk will provide a brief overview of back-end-of-line processing with copper interconnects and a survey of integration challenges for nanoporous materials.  The talk will specifically focus on how the plasmas used for integrated circuit fabrication alter and damage nanoporous organosilicate glass (OSG) films and how to deposit an effective metal barrier onto nanoporous films.  Effectively monitoring integration damage and metal interdiffusion, preventing these problems, or repairing damage are necessary to successfully integrate nanoporous OSG films.  Thus it is critical to understand the properties of nanoporous films and how they are altered by the integrated circuit fabrication process. (PDF
Jan. 29 Host:  Anthony Muscat, University of Arizona
Presentation by: 
Eniko T. Enikov, Aerospace and Mechanical Engineering, University of Arizona
Topic:
Design, Analysis and Fabrication of MEMS Thermal Micro-Actuators for Tactile Displays and Switches
Abstract: Modern integrated circuits (ICs) contain more than 300 million transistors per square centimeter. Such Very Large Scale Integration (VLSI) of electronic elements has resulted in a tremendous technological progress. The origins of this phenomenal progress can be traced back to the invention of integrated circuits in 1959 by Jack Kilby (Texas Instruments) and later improved by Robert Noyce into a planar technology. The great success story of integrated circuits has sparked interest in developing other highly integrated systems based on semiconductor processing technology. The resulting technology, now known as micro-electro­mechanical systems (MEMS), includes many useful devices based on IC processing technology and integrates electrical, mechanical, optical, chemical, and/or biological processes. While many MEMS devices have permanently entered the commercial world in the form of automotive pressure sensors, accelerometers or printer heads, the quest for more efficient and powerful micro-actuators continues.
In part one of this talk our work on the development of thermal micro-actuators will be presented Potential applications of these devices are micro-relays, tunable impedance RF networks, and miniature medical instrumentation. The specific motivating application in our case is a tactile display, which utilizes a hybrid actuation a macroscopic vibrating piezoelectric plate combined with a MEMS array of thermally actuated micro-mechanical switches. Several fabrication processes will be discussed including an SU8-based electroforming, SU8/metal composite and purely metallic devices. Non-traditional substrates such as RF-printed circuit boards have also been successfully utilized to fabricate the devices. The PCB-based devices exhibited similar characteristics, thus opening the possibility of integrating RF MEMS directly on PCBs. The actuators were benchmarked with respect to power consumption, stroke, and response time. The fabricated nickel actuators are shown to be robust with displacements in the range of 76 micrometers using 80 mW of power. Actual cooling transients were captured using a two-step constant-current excitation method. It is further demonstrated through analytical models that the thermal cooling times limit the bandwidth of these devices below 1KHz. (PDF)
Feb. 5 Host:  Krishna Saraswat, Stanford University
Presentation by: 
Chi On Chui,   Department of Electrical Engineering,   Stanford University
Topic: 
"Novel Germanium Technology and Devices for High Performance MOSFETs"  
Abstract: The saturation of Si MOSFET drain current upon dimension shrinkage may limit the prospect of future scaling. The lower effective mass and lower valley degeneracy of Ge could alleviate the problem by providing a higher source injection velocity. However, surface passivation for gate insulator and field isolation, and n-type dopant incorporation are the two classic problems that obstruct CMOS device realization in Ge for four decades. In this talk, we will present various novel Ge technologies on surface cleaning, gate dielectric, and dopant incorporation. In addition, we will disclose an innovative self-aligned gate-last fabrication process not only to demonstrate functional Ge MOSFETs, but also to provide a vehicle to characterize many novel material integration schemes. (PDF)
Feb. 12 Host:  Anthony Muscat, University of Arizona
Presentation by: 
James Watkins, Associate Professor, Department of Chemical Engineering, University of Massachusetts
Topic: 
Supercritical Fluid Technology for Semiconductor Device Fabrication Deposition of Metals and Mesoporous Silicates from Carbon Dioxide
Abstract: Supercritical fluids (SCFs) offer a unique technology platform for semiconductor devices. While the environmental advantages of SCFs such as carbon dioxide are attractive, it is their physicochemical properties that are enabling and may ultimately drive their use in device fabrication. In particular the absence of surface tension, favorable transport properties and densities that approach those of liquids provide a means for solution-based processes in an environment that behaves much like a gas. These attributes are ideally suited for executing materials chemistries within the smallest device features. This talk will describe applications of SCFs developed in our laboratories relevant to the fabrication of next-generation interconnect structures including the deposition of Cu, Co and other pure and doped metal films and the preparation of well-ordered, mesoporous, ultra-low dielectric constant films that are sufficiently robust to survive CMP.
As device dimensions decrease below 45 nm, the deposition of high purity Cu and alternative metal barrier systems within high aspect ratio features becomes a significant challenge. We have found that the reduction of Cu(II) or Cu(I) precursors with H2 or alcohol yields remarkably pure, conformal films with resistivities as low as 2.0 microohm-cm. Excellent adhesion was achieved through the use of surface pre-treatments. The approach is suitable for the single step fill of narrow features or the preparation of seed layers for subsequent plating. Deposition in CO2 is not limited to Cu, but can be extended to other pure and doped thin metal films, including cobalt, for Cu line capping applications. In all cases precursor and reagent volatility constraints, which are often limiting in CVD, are eliminated through the use of SCFs.
Reduced device dimensions will also place greater demands on ILDs, requiring the development of robust, mesoporous films that can be extended to dielectric constants well below 2.5. Much of this discussion will focus on a new approach to mesoporous silicates that involves the infusion and selective condensation of metal oxide precursors within one phase domain of a highly ordered, preformed block copolymer template dilated with supercritical carbon dioxide. The template is then removed to produce the mesoporous oxide. To date we have replicated ordered spherical and cylindrical morphologies to yield silica, organosilicate and mixed silica/organosilicate mesostructures in films over 1 micron thick while maintaining all the structural details of the sacrificial copolymer template. Varying precursor loading and selection produced a first-generation family of films with dielectric constants as low as 1.8. A film with k = 2.2 was selected for further evaluation and found to survive CMP in a planar test stack. One advantage of the process is the elimination of excess alcohol from the reaction media, which provides a pathway for rapid and high degrees of network condensation. Ultimately, structure on both the local and device levels can be achieved in three dimensions wholly in the polymer template using established techniques prior to infusion of the inorganic phase.
(PDF)
Feb. 19 No TeleSeminar
Feb. 26 No TeleSeminar -- 8th Annual ERC Site Review Meeting (February 25-26-27, 2004 in Tucson, AZ)
March 4 No TeleSeminar
March 11 Host:  Paul McIntyre, Stanford University
Presentation by:  Piero Pianetta, EE & SSRL, Stanford University
Topic:
"Spectroscopic Determination of Work Functions: Possible Application to Silicon Gate Technologies"
Abstract: 
The work function is a fundamental property of a material which determines the details of electron emission from surfaces, the characteristics of electrochemical reactions as well as determining the band offsets between different semiconductors.  In this talk, methods of measuring work functions of surfaces such as photoemission and Kelvin probe techniques will be discussed as well as their applicability to interfaces.
(PDF)
March 18 Host:  Charles Musgrave, Stanford University
Presentation by:  Charles Musgrave, Stanford University
Topic:  The Chemistry of Atomic Layer Deposition of High-K Dielectrics: Selection of Precursors, and Substrates
Abstract:
 Atomic layer deposition is a deposition process capable of depositing uniform and conformal ultra thin films over large areas and has been proposed as a possible technology for future integrated circuit processing. We have used quantum chemistry to predict the atomistic mechanisms of the atomic layer deposition of ZrO2, and HfO2, with various precursors and substrates. The resulting energetics are used to evaluate the viability of processes involving different ALD precursors, surface functional groups, substrate choices, area-selective processes and to provide a fundamental basis for the design of new ALD chemical processes that are environmentally benign. (PDF)
March 25 Host:  Farhang Shadman, University of Arizona
Presentation by:  Terrence McManus, Intel Fellow, Director of EHS Technologies, Intel Corporation
Topic: 
"Design for the Environment at Intel" (PDF)
April 1 Host:  Ara Philipossian, University of Arizona
Presentation by:  Professor Ed Paul, Stockton College, Pomona NJ
Topic:  "A Model of Chemical Mechanical Polishing"
Abstract:  In chemical mechanical polishing, the material removal rate depends on many variables.  In this talk, a first principles model will be discussed which explains how the removal rate varies with changes in oxidizer and inhibitor concentrations, abrasive diameter and abrasive loading, polishing pressures and speeds, and pad stiffness.  The results will be applied to data for tungsten CMP, explaining why the removal rate initially increases and then approaches a maximum value as concentrations of oxidizer or abrasive increase, and as mechanical pressures and speeds increase.  The model has been found useful in academic descriptions and industrial applications of CMP processes.
(PDF)
April 8 Host:  Paul Blowers, University of Arizona
Presentation byPaul Blowers and Monica Titus, Department of Chemical and Environmental Engineering, The University of Arizona
Topic:  "The Use of Life Cycle Assessment as a Screening Tool for Environmental Performance: Supercritical Carbon Dioxide Use in Wafer Rinsing"
Abstract:  Supercritical carbon dioxide (scCO2) is attractive as a replacement for many solvents because it is cheap, non-toxic, and easy to use.  While scCO2 has been used in food processing and for rinsing machined parts, researchers have recently developed the technology to implement scCO2 as a solvent in semiconductor manufacturing to remove photoresist from wafers during processing.  This use replaces an ultrapure water (UPW) rinsing step that can use millions of gallons of water a year and will have a direct impact on water usage throughout semiconductor manufacturing communities.
We use Life Cycle Inventory (LCI) to quantify the environmental benefits and costs of implementing the new technology through material and energy balances.  This data can be used to justify additional improvements in processing technology associated with the change.  We find that the new technology has a smaller energetic footprint and consumes less mass of raw materials compared to UPW water rinsing.  The purification steps necessary before and after using water lead to larger energy costs.  On the other hand, scCO2 usage allows recycling of both scCO2 and, possibly, the necessary cosolvent without incurring large separation costs.  Overall, energy usage for compressing and heating the carbon dioxide into the supercritical region cause the largest environmental impacts for this process.  Pilot testing of the technology is ongoing and should be accelerated in order to achieve a large environmental savings in more facilities. (PDF)
 
April 15 Host:  Anthony Muscat,  University of Arizona
Presentation by:  Professor Neal R. Armstrong, Department of Chemistry, University of Arizona
Topic: 
"Disks to Rods: Nanometer-Scale Characterization and Control of Self-Organizing Molecular Systems of Interest for Emerging (Hopefully) Electronic and Energy Conversion Technologies"
Abstract:   
There is substantial interest in new molecular electronic materials which would (inexpensively) provide for logic circuits, displays and solar cells, on flexible substrates -- "made by the mile" and "sold by the inch" (Thanks to 3M for the quote).  There are SIGNIFICANT challenges, however, to creating electronic quality materials from organic assemblies, not least of which is that charge mobilities are strongly affected by the microscopic (nanometer-scale) organization of the molecules within these materials.  This talk will focus on some of our recent efforts to create a new class of discotic mesophase (liquid crystalline) materials, which might be solution  processable into transistor and/or solar cell structures.  The individual molecules are disks, which self-organize into rod-like aggregates, with coherence lengths of up to ca. 300 nm.  I'll show some of our very preliminary FET studies of thin films of these materials, and prognosticate on what it will take to turn materials like these into viable technologies.  (PDF)
April 22 Host:  Steve Beaudoin, Purdue University
Presentation by:  Gautam Kumar, School of Chemical Engineering, Purdue University
Topic:  "Validation of a Model for Undercut Etch Cleaning"
Abstract:  Undercut removal of micron-scale particles was modeled on the basis of a force balance on the adhering particles. The adhesion between particles and surfaces was predicted based on the particle and substrate morphology, composition, and mechanical properties, as well as the composition of the cleaning medium. The adhesive force was computed by summing the van der Waals and electrostatic forces over the area of interaction between the particle and the substrate. Estimated adhesive forces were in agreement with experimental data presented in the literature. As the surface was etched during the undercut cleaning, the contact area between the particle and substrate changed, creating a corresponding change in the magnitude of the electrostatic and van der Waals forces that control the particle adhesion.  Prior to the onset of etching, the net van der Waals and electrostatic forces between the particles and surface were attractive.  However, as the etch proceeded, attractive van der Waals forces were seen to be reduced while repulsive electrostatic forces increased, until the particles became dislodged from the surface.
Removal of 7 and 15µm polystyrene latex (PSL) spheres from a TEOS-sourced silicon dioxide surface was examined. 20:1 buffered hydrofluoric acid (BHF) was used to etch the silicon dioxide surface. Etching was performed under non-flow conditions. Pre- and post-etch wafer scans were obtained using a Tencor SP1 Surfscan system. The fraction of particles adhering to the surface for different etch times was studied. It was observed that particles with nominally the same size and shape were not all removed at the same etch time owing to variations in the van der Waals force of adhesion. These variations were attributed to varying particle and surface roughness. The adhesion model was used to predict the percentage of particles that remain on the wafer surface after a given etch. The experimental data was found to lie within 10% of the predictions when equilibrium contact between the particles and the substrate was assumed. (PDF)
April 29 Host:  Christopher Ober, Materials Science & Engineering, Cornell University
Presentation by: 
Dario Goldfarb, IBM and Chris Ober, Cornell University
Topics:  Goldfarb
: "The Demands of Advanced Lithography-ESH Issues in the Lithographic Process"; Ober: "scCO2 Processing Methods for ESH Friendly Lithography"
Abstract:  When considering new processes for the semiconductor industry, ESH and performance issues need to be considered in concert. These two presentations will discuss the present and future performance aspects of lithography and where ESH opportunities may exist. Also covered will be the capabilities of supercritical (sc) CO2 in photoresist processing and how this may provide opportunities for future lithographic process design. SC CO2 offers a non-VOC, clean solvent with no surface tension and low viscosity. A possible route to an all-dry lithographic process will be discussed.   Goldfarb (PDF); Ober (PDF)
May 6 Host:  Yoshio Nishi, Stanford University
Presentation by:   Dr. Hyoungsub Kim, Stanford University
Topic"Nanoscale zirconia and hafnia dielectric grown by atomic layer deposition: crystallinity, interface structures and electrical properties" 
Abstract:
Presently, metal oxides having higher dielectric constants than SiO2 are being investigated to reduce the leakage current by increasing the physical thickness of the dielectric.  Among many possible deposition techniques, atomic layer deposition (ALD) has drawn attention as a method for preparing ultra-thin metal oxide layers with excellent electrical characteristics and near-perfect film conformality due to the layer-by-layer nature of the deposition mechanism.
For this research, an ALD system using ZrCl4/HfCl4 and H2O was built and optimized.  The microstructural and electrical properties of ALD-ZrO2 and HfO2 grown on SiO2/Si substrates were investigated and compared using various characterization tools.  In particular, the crystallization kinetics of amorphous ALD-HfO2 films were studied using in-situ annealing experiments in a TEM.  The effect of crystallization on the electrical properties of ALD-HfO2 was also investigated using various in-situ and ex-situ post-deposition anneals.  Additionally, a promising new approach for engineering the thickness of the SiO2-based interface layer between the metal oxide and silicon substrate after deposition of the metal oxide layer was suggested.
As one of several possible applications, ALD-ZrO2 and HfO2 gate dielectric films were deposited on Ge (001) substrates with different surface passivations.  After extensive characterization using various microstructural, electrical, and chemical analyses, excellent MOS electrical properties of high-
k gate dielectrics on Ge were successfully demonstrated with optimized surface nitridation of the Ge substrates. (PDF)
May 13 Host:  David Graves, University of California-Berkeley
Presentation by:  Jerry (Cheng-Che) Hsu, University of California-Berkeley
Topic: "Etching Ruthenium with Inductively Coupled Plasma Studies of Etch Products Downstream and Interactions with Chamber Walls."
Abstract:
An important potential EHS problem associated with the investigation of many possible new materials is the creation of unknown by-products during plasma etching. Among the set of new materials are metals that are under investigation as gate electrodes. Some of these materials are difficult to etch and have received relatively little attention to date. The present study aims to develop systematic methods to evaluate and quantify the ESH impact of process, chemicals, and process equipment. The ITRS notes that early identification of ESH impacts is crucial for simultaneous selection of processes and chemicals and minimizing ESH impacts. Plasma etching is especially challenging in this regard since the plasma often scrambles the incoming gases and etched materials to form completely new compounds. The first step in assessing the potential ESH impacts of these new compounds is to develop a methodology to identify their nature, source and transport.  . The ICP was characterized by in-situ ion and neutral mass spectrometers, a chamber wall-mounted quartz crystal microbalance, optical emission spectroscopy, a wall-mounted ion flux probe, and an FTIR spectrometer in the turbomolecular pump foreline. Ru films were etched from 150 mm diameter wafers placed on a rf-biased substrate.  Ru can be etched readily by Ar and O2-containing plasma.  Cl2 addition results in significant changes in etch rate, wall deposition behavior, and downstream etch product composition.  With 10 sccm Ar and 10 sccm O2 at 3*1010 cm-3 plasma density, 10mT pressure and 100V bias voltage, a 60 Ĺ/min etching rate was observed. In addition, without Cl2 addition, no RuO4 was observed in the foreline, and almost all etch by-products were deposited on the chamber wall.  With Cl2 addition (Ar/O2/Cl2 plasma), the etching rate increased by a factor of 5, RuO4 was observed downstream by FTIR, and virtually zero wall deposition rate was observed.  One interpretation of the observations is that chlorine addition to the Ar/O2 plasma results in a more volatile Ru-oxychloride etch product, increasing both film etch rate and chamber wall re-etch rate. A major conclusion from this work is that etch by-products and chamber wall interactions are sensitive functions of plasma chemistry, tool type and operating conditions. This has important implications for simultaneous process and ESH optimization.
Presentation: (PDF)
May 20 Host:   Pierre Khuri-Yakub, Stanford University
Presentation by:  Utkan Demirci, Stanford University
Topic: 
"Environmentally Benign Deposition of Photoresist, Low-k and High-k Dielectrics"
Abstract: We present the theory of operation, fabrication and the experimental results obtained with a novel acoustically actuated 2D micromachined microdroplet ejector array. The use of micro-droplet ejector arrays is proposed for environmentally benign deposition of photoresist and other spin-on materials, such as low-k and high-k dielectrics used in IC manufacturing. Direct deposition of these chemicals will reduce waste and production cost. These ejectors are chemically compatible with the materials used in IC manufacturing. They do not harm fluids that are heat or pressure sensitive. Moreover, this makes them appear as an attractive droplet generation device in biomedicine and biotechnology applications, such as printing of DNA or protein assays and drug testing. The radiation pressure associated with the acoustic beam overcomes the surface tension force and releases droplets into air in every actuation cycle. The ejectors operated most efficiently at 34 MHz and generated 28 µm diameter droplets in drop-on-demand and continuous modes of operation as predicted by the finite element analysis. Various fluids such as photoresist isopropanol, ethyl alcohol, and acetone were ejected from all the elements of a 3x3 2D micromachined ejector array simultaneously. The acoustic actuators are combined with silicon micromachined fluid pools which control the fluid height and the location of the focus, and provide ejection in all angles. (PDF)
May 27 Host:  Rafael Reif, Massachusetts Institute of Technology
Presentation by:   Dr. Cynthia Folsom Murphy, Research Scientist, Center for Energy and Environmental Resources, University of Texas at Austin
Topic: 
"Development of Parametric Inventories for Semiconductor Wafer Fabrication"
Abstract: 
Based on currently available data and information, most of the energy and material consumption used in the production of semiconductor devices (microchips) occurs during the wafer fabrication process. However, despite the growing prevalence of these components in consumer electronics, appliances, and automobiles, very few life cycle studies have been completed for these products. This is in large part because the data required for the mass and energy balances are difficult to obtain and are collected against a background of rapidly changing product designs and short product life-times.
In order to address the difficulties associated with inventory development in the semiconductor industry, a methodology has been developed that accounts for mass and energy use at the unit operation level as function of basic process and design parameters as well as equipment selection and capacity within the wafer manufacturing setting. Illustrations of the methodology are presented for the furnace and wafer clean operations.  Energy consumption is compared over all unit operations for a 6 and 8-layer metal device.
(PDF)
June 3 Host:  Srini Raghavan, University of Arizona 
Presentation by:  Professor Pierre Deymier, Materials Science and Engineering, University of Arizona
Topic:  "Biological Templates for Nanointerconnects"
Abstract:  The current state of knowledge suggests that proteins and assemblies of proteins offer the level of control necessary for inexpensive and reliable bottom-up fabrication of nano-scale interconnects. 
We focus on microtubules (MT), self-assembling, dynamic nano-structures composed of polymerized a and b tubulin protein monomers, as a model biomolecular template for nano-scale interconnects.  We report on progress in controlling the directed self-assembly of MTs from metal electrodes on silicon wafers and their subsequent metallization. (PPT)
June 10 Host:  Krishna Saraswat, Stanford University
Presentation by:  Werner Pamler, Infineon Technologies, Corporate Research, Munich, Germany
Topic:  "Nano Interconnect Technology - Looking at the End of the Roadmap"
Abstract:  Moving along the International Roadmap of Semiconductors we will be facing a series of severe challenges: keeping the resistivities small in spite of size effects, lowering the dielectric constant of insulators, and reducing the thickness of diffusion barriers. The nano-interconnects group at Infineon Corporate Research has the task to assess these challenges. This presentation will give an overview of these activities. Emphasis will be placed upon air gap technology where selective ozone/TEOS deposition may overcome some of the drawbacks of other approaches. (PDF)
June 17 Host:  David Mathine, University of Arizona
Presentation by:  David Mathine, Optical Sciences Center, University of Arizona
Topic:  "The CMOS Biochip for Toxicity Testing"
Abstract:  Traditional means of determining chemical toxicity typically involve expensive and laborious animal studies.  These methods cannot keep pace with the demand for evaluating the toxicity of new chemicals introduced by industry. The advent of biochip technology promises to yield a high-throughput means of screening even complex mixtures of chemicals for toxicity. By monitoring the genetic activity of exposed cells, investigators can identify signature genetic responses that indicate toxic insult. Specifically, relative levels of gene expression between treated and control in vitro models are used to assay whether the treated model exhibits a genetic response characteristic of physiological stress.
The presentation will report on the design and production of a CMOS chip capable of performing the requisite electrochemical and optical measurements, the design and production of a driver device to orchestrate the chip’s functions, and the development of adequate in vitro models for toxicity testing. (PDF-
part 1) (PDF-part 2)
June 24 Host:  Kimberly Ogden, University of Arizona 
Presentation by:   Kim Ogden & Sally Clement, University of Arizona
Topic:  "Assessment of Industrial Continuing Education Needs" (including pre-TeleSeminar survey)
Abstract:  This teleconference will serve as one method to assess the future continuing education needs of our industrial partners. A pre-teleconference survey was sent out and the results were reviewed. These results will be discussed and a dialog initiated to determine timing of courses and topic priorities. A few slides are available below for review to initiate discussion.  The goals for this teleconference include
-Determining when to offer a short course
-Discussing best method to advertise web based university courses
-Determining the feasibility of hands-on training in university test-beds for employees at all levels
-Discussing water education needs (PDF)
July 1 No TeleSeminar-Independence Day
July 8 Host:  Duane Boning, Massachusetts Institute of Technology
Presentation by:  Yun Zhuang, PostDoc, University of Arizona
Topic Part 1-- "Experimental and Numerical Analysis of An Inhibitor-Containing Slurry for Copper CMP";  Part 2 -- "Novel Method for Direct Measurement of Substrate Temperature during Copper CMP"
Abstract: Part 1 -- A novel slurry containing benzotriazole (BTA) as the inhibitor was analyzed in terms of its frictional, thermal and kinetic attributes for copper CMP applications. The frictional analysis indicated that ‘boundary lubrication’ was the dominant tribological mechanism. Due to the presence of the inhibitor in the slurry, copper removal rate exhibited a highly non-Prestonian behavior. Based on the measured coefficient of friction (COF) and pad temperature data, a proven thermal model was used to predict wafer temperature. The Preston Equation was used to describe the polishing rate when p´V was lower than 11,000 Pa-m/s; while a modified Langmuir-Hinshelwood kinetic model was used to simulate the copper removal when p´V was higher than 11,500 Pa-m/s. Assuming that the adsorbed inhibitor layer was abraded off instantly from the copper surface when p´V was higher than 11,500 Pa-m/s, the modified Langmuir-Hinshelwood kinetic model indicated that copper polishing was chemically limited in this polishing region. (PDF)
Abstract: Part 2 -- It has been shown that temperature has a significant effect on removal rate during copper CMP process. While there has been tremendous effort spent on developing thermal models to simulate copper wafer temperature based on measured pad temperature, there has been no report of direct measurement of copper wafer temperature during polishing. In this study, a novel method was created to directly measure the substrate temperature during copper CMP. Using specially designed wafer carriers, real-time copper disc and copper wafer temperatures were obtained by an infrared camera. Results show that copper disc and copper wafer temperatures are higher than those of the leading and trailing edges of the pad. Results also show that there is a temperature distribution on the copper wafer, which is believed to be closely related to the slurry flow during polishing. A 3-D thermal model was developed and used to simulate the pad and wafer temperatures. Simulation data are shown to agree well with the experimental results. (PDF)
July 15 Host:  David Dornfeld, University of California-Berkeley
Presentation by:  Part 1--Sarah Boyd, UC-Berkeley; Part 2--Nikhil Krishnan, UC-Berkeley
Topic:  Part 1--"Applicability of Quantitative Structure Activity Relationship (QSAR) Methods in Semiconductor Manufacturing"; Part 2--"Industry Needs in Semiconductor EHS Assessment - Summary of Survey Results"
Abstract:  This talk is divided into two parts.  In Part 1, we will discuss recent work exploring the applicability of toxicological data sources and quantitative structure activity relationship (QSAR) methods for use in establishing human health impact metrics in semiconductor process life cycle assessment.  In Part 2, we will present results of the survey on EHS assessment needs for the semiconductor industry, conducted by researchers MIT and U C Berkeley earlier this year.  We welcome additional inputs from the member companies and listeners and feedback on summary points. (Part 1: PDF) (Part 2: PDF)
July 22 Host:  Anthony Muscat, University of Arizona
Presentation by:  
Keith P. Johnston, Department of Chemical Engineering, University of Texas-Austin
Topic: 
"Chemical/mechanical process for supercritical carbon dioxide cleaning of porous methylsilsesquioxane (pMSQ) dielectric films with surfactants"
Abstract:  In order to fulfill the International Technology Roadmap for Semiconductors (ITRS) requirements for the performance of interconnects, new cleaning processes must be made available. Traditional wet chemistries tend to damage the sensitive interlayer dielectric (ILD) materials designated for the ITRS 100 nm node. Supercritical carbon dioxide (scCO2
) cleaning is emerging as a potential low cost, environmentally benign alternative to conventional cleaning processes. With the inclusion of hexamethyldisilazane (HMDS) repair in scCO2, a fast and efficient way to clean and repair the low-k dielectric is within reach.  scCO2 solutions exhibit minimal surface tension and thus penetrate and wet surfaces with small geometries. Here we investigate cleaning and dielectric repair of pMSQ interlayer dielectric materials and examine the properties of these films with spectroscopic ellipsometry, scanning electron microscopy (SEM) and Fourier transform infrared spectroscopy (FTIR),.  FTIR studies and SEM images corroborate to show repair of the interlayer dielectric after HMDS treatment in scCO2.  After depressurization, ellipsometric studies indicate that the films contract to a value close to their original thickness.
The via cleaning experiments took place on a dual damascene structure that was comprised of TEOS ILDs (interlayer dielectrics) and SiCN etch stop layers at the trench level and at the via open level.  Pure CO2 did not clean the vias.  With various processes utilizing water, CO2 and surfactant, no post etch residue is left in the via and smooth side walls in the trench are also seen.  The cleaning time was reduced by utilizing a chemical/mechanical method compared with our previous studies utilizing purely chemical dissolution.  These experiments provide proof of concept for cleaning with CO2 and surfactants,  which has the potential to remove via veil residues, water, etch gases and other contaminates from pMSQ films.
We acknowledge support from the etch and ESH groups at International SEMATECH.
[Keith Johnston
2, John Keagy2, Xiaogang Zhang2, Joseph Pham2, Peter F. Green2, Todd Rhoad 1 Josh Wolf1
1
International SEMATECH Assignee from Intel, Portland OR, USA; 2The University of Texas at Austin TX, USA] (PDF)
July 29 Host:  Stacey Bent, Stanford University
Presentation by:  Stacey Bent, Stanford University
Topic:  "Area-Selective ALD of HfO2"
AbstractCompared to other deposition techniques, atomic layer deposition (ALD) is promising for preparing a variety of materials because it can produce high quality films with excellent conformality and precise film thickness control.  Typically, the process permits nano-scale control of materials in the vertical direction.  We are investigating an area-selective ALD technique which will enable micro- and ultimately nano-scale definition of the lateral structure.  Our approach is to chemically modify the substrate surface in order to impart spatial selectivity to ALD.  Our focus is on the high k material HfO2.  Using a variety of analytical techniques, we show that functionalizing the surface with organic monolayers can block the ALD chemistry in the growth of HfO2.  Both solution- and gas-phase delivery of the blocking layers have been explored.  The efficacy of blocking is found to depend strongly on the quality and the chain length of the attached monolayers.  The process has been found to be effective at ALD temperatures up to 300 oC and for different ALD precursors [e.g. HfCl4 and Hf(N(CH3)2)4].
The potential of the area selective process for defining lateral structure has also been examined using several different patterning methods, including microcontact printing, and selective functionalization of patterned SiO2/Si. The propagation of the two-dimensional patterns generated by these methods into three-dimensional structures using area-selective ALD has been explored.  Results on the area selectivity of these processes will be presented. (PDF)
Aug. 5 No TeleSeminar -- RETREAT PREPARATION
Aug. 12 No TeleSeminar 
Aug. 19 No TeleSeminar --RETREAT MEETING-STANFORD
Aug. 26 No TeleSeminar
Sept. 2 Host:  Karen Gleason, Massachusetts Institute of Technology
Presentation by:  April Ross, MIT
Topic:  "Chemical Vapor Deposition of Organosilicon Composite Films for Porous Low-k Dielectrics"
AbstractThere is an increasing need for low-k solutions in integrated circuits that can be extended to future generations.  Two different pathways are explored to lower the dielectric constant of these materials relative to silicon dioxide (k~4).  By incorporating atoms and bonds that have a lower polarizability, such as alkyl groups, or by lowering the density of the material, either sterically or through the integration of air, the value of the dielectric constant is reduced.
Creating porous films using an organosilicate glass (OSG) matrix is an avenue for introducing void space and thereby decreasing density and lowering the dielectric constant.  Since the introduction of porosity severely diminishes the mechanical integrity of the material, understanding the relationship between film structure and mechanical properties becomes extremely important.
Depositing matrix and porogen precursors simultaneously using CVD creates many obstacles as the deposition requirements of the two species are significantly different.  A novel approach to alleviate this problem is to use pre-formed porogens, such as polystyrene micro-spheres or cyclodextrin.  This allows use of the deposition conditions that give the optimal matrix material structure, with disregard to the effect on the porogen deposition.  An ultrasonic atomizer can be used to introduce these porogen materials into the reactor for deposition.   Another advantage gained from this technique is that the pore size is controlled and determined by size of the porogen.  Cyclodextrin is a prime porogen candidate due to its low decomposition temperature and small molecular size. (PDF)
Sept. 9 Host:  Farhang Shadman, University of Arizona
Presentation by:   Dr. Mansour Moinpour, Engineering Manager-Materials Technology, Intel Corporation
Topic:  “CMP Consumables: Meeting Technology Challenges with Quality Incident Free Performance”
Abstract: 
Semiconductor interconnects are requiring an increase in the number of metal layers and decreasing dielectric constant. The chemical mechanical planarization (CMP) has been one of the key enabling modules in the past 10 years for sub 0.35 micron Back End Of the Line (BEOL) processing. The CMP process is using more complex processing steps, and greater number of materials to meet the topography and defect challenges. A quick overview of CMP trends and technology challenges is provided in this presentation. The overall incoming quality of fabrication materials have improved, but the quality improvement has stalled in the past couple of years. To take the next leap in quality, suppliers need to move to a quality incident free mindset. This mindset comes from developing PCS culture, starting with a solid foundation in the data collected and identification of relevant process parameters. These key and control parameters can come from many points in the process, raw materials, etc. A practical example of key parameter characterization and identification process control strategies is presented.  (Paper presented at the CAMP CMP Conf., Lake Placid, NY Aug. 2004) (PDF)
Sept. 16 Host:  Ara Philipossian, University of Arizona
Presentation by:  Dr. Robert P. Meagley, Researcher in Residence, MAP Program Manager Components Research, Intel Corporation
Topic:  "Fundamental Challenges for Lithographic Roadmap"
Abstract Photolithography has met the challenge of the 90 nm node through application of higher NA as well as RET in 193nm and 248nm technologies. Printing even smaller CDs has pushed the size of the resist polymers to within a factor of 100 of molecular sizes, a direct outcome of the ITRS. As a consequence, performance characteristics less significant for previous generations have begun to take on new priorities, for example collapse margin and line width roughness (LWR). These aspects are key to the successful extension of 193nm technology and as well to the successful introduction of EUV, a key enabling technology. This is especially a consequence of importance to Intel's lithographic roadmap, for through accelerated development of advanced technologies, we expect to operate in the molecular regimes first.
To improve performance of patterning, resists have been designed to optimize performance through engineering various properties (Tg, profile, surface properties, etc.). However new materials designs may be applied to push the limits of performance even further into the molecular scale. Indeed, new materials are anticipated to enhance performance across photolithographic technologies. Upstream engagement with suppliers, universities and consortia to facilitate early learning for the parties engaged will be highlighted. New lithographic materials will be discussed in terms of their performance challenges and a vision of creative and dynamic materials development as well as enhanced execution to the roadmap will be communicated in this presentation. (PDF)
Sept. 23 No TeleSem -- end of 2003-2004 season
Sept. 30 Host:  Reyes Sierra, University of Arizona
Presentation by: 
Reyes Sierra, Department of Chemical and Environmental Engineering, University of Arizona
Topic: 
"Biological Removal of Copper in CMP Effluents”
Abstract:  The rapid expansion of copper chemical mechanical planarization (CMP) in semiconductor fabrication facilities has generated a significant increase in the quantities of wastewater requiring treatment.  CMP effluents contain high concentrations of soluble copper and a complex mixture of organic constituents.  Physico-chemical methods currently applied for the removal of copper in CMP effluents, (eg. ion exchange, filtration and microfiltration, coagulation/electrocoagulation, etc.), are often expensive and energy intensive.  Environmental biotechnologies have been shown to offer interesting potentials for metal removal and recovery.  Biological treatment could also provide an attractive approach to meet regulatory challenges associated with copper in CMP effluents.  In addition, biological treatment offers the possibility remove organic contaminants along with copper.
The aim of this research is to evaluate the feasibility of an innovative system configuration that combines a crystallization reactor and a sulfate-reducing anaerobic bioreactor for the simultaneous removal of copper and organic contaminants from CMP effluents.  Copper biomineralization, a process stimulated by biogenic sulfides produced by sulfate-reducing bacteria, will occur in the crystallization reactor.  Degradation of organic contaminants and sulfate conversion will be attained in the expanded granular sludge bed (EGSB) bioreactor.  The crystallization reactor is a fluidized bed containing fine sand.  The sand surface offers centers for CuS nucleation and subsequent crystal growth.  Potential advantages of a bioreactor/crystallization system as compared to a stand-alone anaerobic bioreactor include: recovery of valuable metals in purified form; reduced dilution of active biomass with minerals; decreased inhibitory and toxicity effects of heavy metals on microorganisms; and operation under optimal conditions for sulfidogenesis and mineral deposition, facilitating process control. (PDF)
Oct. 7 Host:  IAB >> Rescheduled to future date
Oct. 14 Host:  Duane Boning, MIT
Presentation by:  Julie Snook, Application Engineer, CON-TACT Program, Brewer Science
Topic:  "A Novel Planarization Technique to be Used in the Manufacture of Semiconductor Devices"
Abstract
The increasingly complex nature of integrated circuits has exposed a need for interlayer planarization in device manufacture.  The method of choice to provide the required planarization is Chemical Mechanical Planarization (CMP).  A novel method of planarization has been developed, CON-TACT, which offers significant performance benefits.  This method is flexible and has been successfully applied to a variety of applications. This presentation will discuss the technical performance benefits, such as pattern-density independent planarization, as well as the environmental benefits of this new method. (PDF)
Oct. 21 Host:  Kimberly Ogden, University of Arizona
Presentation by:  Mark A. Burns, Department of Chemical Engineering and Department of Biomedical Engineering, The University of Michigan
Topic:  "Microfabrication Biochemical Analysis: Bulk Properties to Single Molecules"
Abstract
Microfabrication holds great promise for the construction of inexpensive biochemical analysis systems. Multiple analysis systems can be constructed on an individual die resulting in systems with higher throughput, lower biochemical costs, and minimal user intervention relative to other technologies. Such combined biochemical analysis systems can, in essence, function as micron-scale intelligent sensors. 
We are constructing such devices on silicon, glass, and polymer substrates for the analysis of DNA and other biochemical samples.  The devices consist of micron-scale reaction, separation, and/or detection systems connected by a series of micromachined channels.  Liquid samples and reagents are injected into these devices and then moved between components by hydrophobic/hydrophilic patterning, pressure sources, and/or phase-change valves.  Temperature controlled chambers can be used for a variety of reactions including selective amplification and digestion of DNA.  The products can then be separated on micron-scale electrophoresis units with on-chip fluorescence detection.  Individual analysis components as well as complete integrated systems will be described.
(PDF)
Oct. 28 Host:  David Graves, UC-Berkeley
Presentation by:  Yassine Kabouzi, Department of Chemical Engineering, University of California-Berkeley
Topic "Abatement of greenhouse gases using surface-wave microwave discharges sustained at atmospheric pressure"
Abstract Reducing perfluorinated compound (PFC) emission from chamber cleaning and dielectric etch tools continues to be an important challenge for the semiconductor industry. In some cases, there are advantages to the use of abatement techniques using plasmas at atmospheric pressure. To date, this approach has received less attention than plasma abatement at low pressure. In this talk, a promising atmospheric pressure microwave-based plasma abatement method is described.
Microwave surface-wave plasmas can be operated at atmospheric pressure and have been efficiently used to eliminate PFC-containing gases. As an example, we present results from the abatement of SF6 diluted in N2 (0.5-2.4%). O2 is added to the gas mixture to ensure oxidation of PFC fragments and to provide scrubbable byproducts (acid-like byproducts). The destruction and removal efficiency (DRE) of SF6 is investigated as a function of microwave power, discharge tube radius, nitrogen flow rate and PFC inlet concentration. The DRE increases with increasing microwave power as a result of increasing electron density and residence time. Reformation of PFC molecules from fragments is the main mechanism limiting abatement efficiency. Reformation increases with decreasing gas temperature and increasing PFC inlet concentration. DRE values of virtually 100% have been achieved with surface wave plasmas, provided microwave power is high enough (3 kW) and the discharge tube radius is small (4 mm).  (Work done at the University of Montreal)
  (PDF)
Nov. 4 Host:  Srini Raghavan, University of Arizona
Presentation by:  Thomas J. Wagener, Applications Manager, FSI Surface Conditioning Division, Chaska, Minnesota
Topic:  "Particle Removal Using Cryogenic Aerosols"
AbstractRemoving particles in both the FEOL and BEOL is becoming more challenging.  For FEOL cleans, the 2003 ITRS roadmap calls for less than 0.5 Angstrom material loss for the 65nm technology node and beyond.  To achieve this material loss goal, more dilute and reduced temperature chemistries are being implemented.  To minimize the drop in particle removal efficiency (PRE), energetic particle removal techniques such as megasonics and/or atomized spray may be utilized.  However, care must be taken to prevent structure damage.   Shrinking features in the BEOL, along with the incorporation of low-k materials, provide additional challenges.  One is not only concerned with structure damage, but also moisture absorption, chemical reactions, and the removal of particles from phobic surfaces.  Cryogenic aerosols have been used to increase manufacturing yield of integrated circuits for nearly a decade.  The benign characteristics of this all-dry process makes for straightforward insertion into any process flow requiring the removal of particle defects.  These characteristics include no charging, no water marks, no scratching, no chemicals and no material loss, addressing many of the challenges addressed above.  In addition, recent studies show that cryogenic aerosols have superior PRE from phobic surfaces when compared to conventional wet cleans.  The above mentioned attributes of cryogenic aerosols will be presented.
(PDF)
Nov. 11 No TeleSeminar -- VETERANS DAY
Nov. 18 Host:  Pierre Khuri-Yakub, Stanford University
Presentation by:  Utkan Demirci, Stanford University Electrical Engineering Department, and E.L. Ginzton Lab
Topic:  "Acoustically Generated Picoliter Droplets Using 2-D Micromachined Microdroplet Ejector Arrays"
Abstract
There is growing demand in the fields of semiconductor manufacturing and biotechnology to reliably generate repeatable, uniform, picoliter-size fluid droplets. Such droplets can be generated using MEMS (Micro-Electro-Mechanical Systems) technology. We propose 2-D micromachined microdroplet ejector arrays for environmentally benign deposition of photoresist and other spin-on materials, such as low-k and high-k dielectrics used in integrated circuit (IC) manufacturing. Direct deposition of these chemicals will reduce waste and production cost. These ejectors are chemically compatible with the materials used in IC manufacturing, and do not harm fluids that are heat or pressure sensitive. Moreover, these ejectors are attractive to biomedicine and biotechnology for droplet generation in applications such as printing of DNA or protein assays and drug testing.
Two novel methods for generating millions of droplets per second using acoustically actuated 2-D micromachined microdroplet ejector arrays will be presented. First, membrane based 2-D micromachined ejector arrays will be introduced. Each element of a membrane based 2-D ejector array consists of a flexurally vibrating circular membrane on one face of a cylindrical fluid reservoir. The membrane has an orifice at the center. A piezoelectric transducer generating ultrasonic waves, located at the open face of the reservoir, actuates the membrane and droplets are ejected through the membrane orifice. The ejectors operated most efficiently at 1.2 MHz and generated 3-7 µm diameter droplets. Second, acoustic focus based 2-D micromachined ejector arrays will be demonstrated. The radiation pressure associated with the acoustic beam overcomes the surface tension force, and releases droplets into air in every actuation cycle. The ejectors operated most efficiently at 34.7 MHz, and generated 28 µm diameter droplets in both drop-on-demand and continuous modes of operation, as predicted by the finite element analysis. Photoresist, water, isopropanol, ethyl alcohol, and acetone were ejected from a 4x4 2-D micromachined ejector array. The theory of operation, fabrication and the experimental results obtained with novel acoustically actuated 2-D micromachined microdroplet ejector arrays will be presented.  (PDF)
Nov. 25 No TeleSeminar - THANKSGIVING HOLIDAY
Dec. 2 Host:  Greg McRae, MIT
Presentation by:  Greg McRae, MIT
Topic:  "Integrating Environmental Considerations into Technology Selections Under Uncertainty"
Abstract: 
A critical challenge facing the chemical and microelectronics industries is how to achieve improvements in both economic and environmental performance. Deciding which process chemistry or technology is the bestis not easy especially when there are multiple and often conflicting objectives. This paper describes new decision analytic methods, life cycle assessment tools and fast algorithms for uncertainty analysis that can help structure the decision processes in such a way to reduce uncertainties in outcomes. In particular, value-of-information concepts and hierarchical modeling are used as a way to decide whether there is sufficient knowledge to make a decision or if more resources need to be allocated to reduce the risk of bad outcomes. Within a uniform model environment, process models combined with uncertainty analyses of the effects of parametric and structural uncertainties are used to identify critical elements of the decision process and to determine if more refined modeling or data collection must be carried out. The new framework will be illustrated with a case study of the issues involved in choosing between two gases (NF3 or F2) for cleaning a reactor used in manufacturing microelectronics. The case study will show how the choice of process boundaries, levels of modeling detail and data quality can influence the decision outcomes. (PDF)
Dec. 9 Host:  Ara Philipossian, University of Arizona
Presentation by:  Dr. Leonard Borucki, Intelligent Planar
Topic:  Flash Heating in Chemical-Mechanical Polishing
Abstract
Silicon dioxide removal rates can be modeled within an RMS error of a few percent using a two-step Langmuir-Hinshelwood model that abstractly includes both a mechanical removal step and a rate-limiting chemical step. The model also describes copper polishing when the chemistry conforms to the two-step framework. The chemical step in the model requires a reaction temperature. Early in the development of the model, the temperature formula
 ,                                                                                                    (1)
 was discovered to be a key part of the explanation for why very different rates are sometimes observed at fixed pV but for different combinations of p and V. In Eq. (1), Ta is the platen temperature, and
b and the exponent a are fitting parameters. Extracted values of the exponent a for oxide polishing typically fall in the range 1.6-1.8. However, it was not clear why the temperature rise in Eq. (1) should be a power law in V or why a should have the values that it does.

This talk will discuss the resolution of these questions.  A physical analysis of asperity tip heating suggests that as an asperity passes over a point on the wafer, the temperature of the wafer surface on the average momentarily flashes to
  .                                                                       (2)
For polishing on a k-groove pad, the factors on the right side of Eq. (2) that do not explicitly depend on p or V are independent of V except for the pad heat partition factor
gp. Results of finite element heat transfer calculations for nano-lubricated sliding of an asperity tip over a silicon wafer with a thin SiO2 layer show that the heat partition factor is well-approximated by a power law in V. The magnitude of the exponent in the power law furthermore is in natural agreement with the empirically extracted values of the exponent a. The analysis not only provides explicit formulas for the empirical parameters b and a, but also relates them to wafer and pad thermal, mechanical and surface properties. Thus, it is possible when using (1) as a compact model to relate the extracted parameters to independently verifiable physical properties. Alternatively, if physical properties are well known, it is possible to use (2) as the compact flash heating model and a reduced set of fitting parameters in the Langmuir-Hinshelwood model. (PDF)
Dec. 16 No TeleSeminar - CHRISTMAS HOLIDAY
Dec. 23 No TeleSeminar - CHRISTMAS HOLIDAY
Dec. 30 No TeleSeminar - CHRISTMAS BREAK AT U. OF A.

Report Changes : Top : Back